did-you-know? rent-now

Amazon no longer offers textbook rentals. We do!

did-you-know? rent-now

Amazon no longer offers textbook rentals. We do!

We're the #1 textbook rental company. Let us show you why.

9781558994843

Advanced Metallization Conference in 1998: Proceedings of the Conference Held October 6-8, 1998, in Colorado Springs, Colorado, Sponsored by Continuing Education in Engineering, University

by
  • ISBN13:

    9781558994843

  • ISBN10:

    155899484X

  • Edition: 1st
  • Format: Hardcover
  • Copyright: 1999-09-01
  • Publisher: CAMBRIDGE UNIVERSITY PRESS

Note: Supplemental materials are not guaranteed with Rental or Used book purchases.

Purchase Benefits

List Price: $31.99 Save up to $9.60
  • Rent Book $22.39
    Add to Cart Free Shipping Icon Free Shipping

    TERM
    PRICE
    DUE
    SPECIAL ORDER: 1-2 WEEKS
    *This item is part of an exclusive publisher rental program and requires an additional convenience fee. This fee will be reflected in the shopping cart.

Supplemental Materials

What is included with this book?

Summary

The MRS Symposium Proceeding series is an internationally recognised reference suitable for researchers and practitioners.

Table of Contents

Preface xvii
Acknowledgments xix
Materials Research Society Conference Proceedings xxi
Keynote Presentation Shift to Copper and Low-k at SEMATECH 3(16)
J. Dahm
K. Monnig
PART I: COPPER-BASED METALLIZATION TECHNOLOGIES
*A Comparison of Al and Cu Wiring Technologies for 0.18 μm and Beyond
19(10)
Masao Yamada
Keizaburo Yoshie
Satoshi Yamaguchi
Hideo Niwa
Masaya Hosaka
Takahiro Kouno
Yoriko Mizushima
Nobuhiro Misawa
Kazuo Kakuta
Toshiya Suzuki
Rika Shinohara
Masanobu Ikeda
Hiroshi Kudo
Atuhiro Tsukune
*Aspects of Copper Electroplating for On-Chip Interconnections
29(6)
P.C. Andricacos
C. Uzoh
J.O. Dukovic
J. Horkans
H. Deligianni
Extendabllity of Ion-Metal Plasma and Electroplating Technologies for Damascene-Based Copper Metallization
35(8)
S. Lopatin
G. Morales
T. Nyogami
A. Preusse
S. Chen
D. Brown
R. Cheung
I. Hashim
S. Ponnekanti
D. Angelo
T. Chiang
B. Sun
P. Ding
B. Chin
Low-Temperature PVD Cu Seed for Cu Electroplating of Damascene Trenches in a Low-k Dielectric
43(8)
V. Blaschke
J. Mucha
B. Foran
Q.T. Jiang
K. Sidensol
A. Nelsen
The Role of Additives in Electroplating of Void-Free Cu In Submicron Damascene Features
51(6)
M.E. Gross
K. Takahashi
C. Lingk
T. Ritzdorf
K. Gibbons
Analysis of Transport Phenomena in Electroplated Copper Filling of Submicron Vias and Trenches
57(8)
K.M. Takahashi
M.E. Gross
The Formation of Pd Seeded Copper Layer on TiN Substrates by Electroless Deposition
65(8)
Woo Sig Min
Yuri Lantasov
Roger Palmans
Karen Maex
Dong Nyung Lee
Pole Figure Analysis of Electroplated Cu in Damascene Trenches
73(8)
C. Lingk
M.E. Gross
W.L. Brown
T. Siegrist
E. Coleman
W.Y-C. Lai
J.F. Miner
T. Ritzdorf
J. Turner
K. Gibbons
E. Klawuhn
G. Wu
F. Zhang
Room Temperature Evolution of Microstructure and Resistivity in Electroplated Copper Films
81(8)
C. Cabral, Jr.
P.C. Andricacos
L. Gignac
I.C. Iloyan
K.P. Rodbell
T.M. Shaw
R. Rosenberg
J.M.E. Harper
P.W. DeHaven
P.S. Locke
S. Malhotra
C. Uzoh
S.J. Klepeis
Room Temperature Recrystallization of Electroplated Cu in Damascene Trenches: Kinetics and Mechanisms
89(6)
C. Lingk
M.E. Gross
W.L. Brown
W.Y-C. Lai
J.F. Miner
T. Ritzdorf
J. Turner
K. Gibbons
E. Klawuhn
G. Wu
F. Zhang
Seed Effect on Self-Annealing of Electroplated Copper Films
95(8)
K. Ueno
T. Ritzdorf
S. Grace
Multilayer Deposition of Electroless Copper Nickel Cobalt and Their Alloys on Silicon for MEMS and ULSI Applications
103(8)
Y. Shacham-Diamand
Y Sverdlov
Submicron Copper Metallization by Electrochemical Deposition
111(6)
L. Chen
T. Ritzdorf
L. Graham
D. Fulton
A New Highly Volatile Liquid Precursor With Improved Thermal Stability for Copper Chemical Vapor Deposition
117(6)
P. Doppelt
T-Y. Chen
R. Madar
J. Torres
Chemical Vapor Deposition of Copper Thin Films: Comparison of Cu(FOD)2 vs. Cu(HFAC)2
123(6)
G.L. Griffin
J.Y-S. Boey
N. fan
A.W. Maverick
Characterization of Electro Chemical Deposition (ECD) Cu Process Comparison Between PVD and CVD Seed Layer
129(6)
Takao Kinoshita
Masato Kobayashi
Tsukasa Doi
Nobuyoshi Awaya
Katsuji Iguchi
Tue Nguyen
Evaluation of Cu(I) Precursors for Metal Organic Chemical Vapor Deposition of Copper Thin Films
135(6)
Sang-Woo Kang
Man-Young Park
Shi-Woo Rhee
MOCVD Cu Process for Seed Layer and Fill Applications
141(6)
Zeming Liu
Thomas Omstead
Jeffrey Bottin
Rory Eaton
Rick Faust
Ismail Emesh
A Comprehensive Evaluation of Copper Precursors for Copper Interconnects
147(6)
Tue Nguyen
Lawrence J. Charneski
Sheng Teng Hsu
Gautam Bhandari
Zuantum Chemical Study of Cu CVD Using Precursors with Alkoxysilylolefin Ligands
153(6)
Kolchl IYakamura
Masahiro Fuju
Akitomo Tachibana
Kinetics of Copper Forcefill
159(6)
G.C.A.M. Janssen
J.F. Jongste
S. Radelaar
Th.W. de Loos
T. Kouno
T. Ohba
Simulation of Longthrow Sputtering of Cu and At for Via/Contact Filling
165(6)
T. Smy
R.V. Joshi
S.K. Dew
M.J. Brett
Copper Seed Layers for Electroplating of Copper
171(6)
K. Weiss
S. Riedel
S.E. Schulz
T. Gessner
Room Temperature Film Property Changes in Electro-Deposited Cu Thin Films
177(6)
Qing-Tang Jiang
Robert Mikkola
Brad Carpenter
Michael E. Thomas
A Study on Crystal Orientation Control of Cu Film for Highly Reliable Cu Interconnects
183(6)
Kazuhide Abe
Yusuke Harada
Hiroshi Onoda
CVD Cu Seed Layer Development for Via and Trench Fill with PVD Cu and Electroplating
189(8)
Naomi Yoshida
Y. Dennis Cong
Rong Tao
Liang Y Chen
Sesh Ramaswami
PART II: CMP
*Chemical Mechanical Polishing of Interconnect Materials for Advanced IC Metailization
197(12)
Chris Yu
Steven Grumbine
Vlasta Brusic
Shumln Wang
Gautam Grover
Joe Hawkins
Robert VanDyke
Isaac Cherian
Rod Kistler
Variations in Cu CMP Removal Rate Due to Cu Film Self-Annealing
209(8)
Qing-Tang Jiang
Konstantin Smekalin
Interfacial Chemistry of Copper Relevant to Chemical Mechanical Polishing as Elucidated by Surface-Enhanced Raman Spectroscopy
217(8)
Ho Yeung H. Chan
Christos G. Takoudis
Michael J. Weaver
A New Approach to Optimize Pre-metal Dielectrics CMP Process for DRAMs
225(6)
K.S. Choi
C.W. Nam
C. W. Jeon
C.K. Oh
M.S. Chae
S.D. Kim
C.T. Kim
Cu CMP Process Development on a Multihead Polisher
231(6)
S. Basak
C.O. Fruitman
M. Grief
T. Laursen
K. Murella
A Comparative CMP Study of BCB and SiLK for Copper Damascene Technologies
237(6)
F. Kuchenmeister
Z. Stavreva
U. Schubert
K. Richter
C. Wenzel
M. Simmonds
Study on the Effect of the CMP Parameters on Planarity During Oxide CMP Process
243(6)
J.W. Lee
B.U. Yoon
C.K. Hong
C.L. Song
J.T. Moon
M.Y. Lee
Process Control in Oxide and Metal CMP
249(6)
J. Mendonca
J. Farkas
C. Dang
S. Selinidis
M. Angyal
B. Boeck
R. Islam
C. Pettinato
J. Cope
S. Venkatesan
J. Klein
The Investigation on the Performance of Chemical Mechanical Polishing (CMP) Based Upon the Wafer-Pad Contact Mode
255(6)
Y. Moon
D.A. Dornfeld
Pad Properties, Pressure Distribution, and Non-Uniformity During Chemical-Mechanical Planarization for ULSI Manufacturing
261(6)
Wei-Tsu Tseng
Yiao-Hsien Wang
Jyh-Hwa Chin
A Study of Metal Ion Contamination in the CMP of SOG/SOP Low-k Materials
267(7)
Clint K.L. Wu
C.H. Huang
K.H. Liao
A Highly Uniform CMP Using Ultra-Hard Pad for Shallow Trench Isolation Process
274(7)
Yukishige Saito
Toyoji Yamamoto
Yoshihiro Hayashi
Tohru Mogami
PART III: ADVANCED BARRIER TECHNOLOGIES
*Collimated and IMP Sputtered Ti/TiN Liner for Advanced DRAMs
281(8)
Ahmad Fathulla
Steve Colledge
Uwe Richter
``Metallization Challenges for the 0.15 μm/300 mm NODE
289(8)
G.A. Dixit
Fusen Chen
Ashok K. Sinha
Characterization and Barrier Properties for Cu Metallization of Tungsten Nitride Deposited by PECVD Using WF6 + N2 + H2
297(8)
Hua Li
Ilse Heyvaert
Sing Jin
Filip Lanckmans
Bert Brijs
Hugo Bender
Karen Maex
Ludo Froyen
Process Development, Film Characterization, and Integration of PECVD W2N as a Diffusion Barrier for Copper Interconnect
305(8)
A. Jain
O. Adetutu
B. Ekstrom
B. Fiordalice
R. Venkatraman
E. Weitzman
Graded Ta/TaN/Ta Barrier for Copper Interconnects for High Electromigration Resistance
313(8)
Takeshl Nogami
Young-Chang Joo
Sergey Lopatin
Jeremias Romero
Joffre Bemard
Werner Blum
Hans Juergen Engelmann
Janice Gray
Bryan Tracy
Susan Chen
Todd Lukanc
Dirk Brown
Paul Besser
Guarionex Morales
Robin Cheung
Comparison of Ta-, Ti-, and W-Based Diffusion Barriers for Copper Metallization
321(8)
J. Baumann
M. Stavrev
M. Rennau
T. Raschke
S.E. Schulz
C. Wenzel
C. Kaufmann
T Gessner
Surface Modification of MOCVD-TiN Film by Plasma Treatment and SiH4 Exposure for Cu Interconnects
329(8)
T. Harada
S. Hirao
S. Fujii
S. Hashtmoto
M. Shishino
Barrier and Contact Behavior of Tantalum-Based Thin Films for Use in Copper Metallization Scheme
337(8)
D. Fischer
M. Stavrev
N. Urbansky
C. Wenzel
E. Nebauer
J.G. Bauer
T. Scherg
H-J. Schulze
FMCVD (Flow Modulation Chemical Vapor Deposition) for Reducing Residual Chlorine Concentration in TiN Films
345(6)
H. Hamamura
R. Yamamoto
K. Takahiro
S. Nagata
S. Yamaguchi
H. Komiyama
Y. Shimogaki
Key Factors to IMP Ti/CVD TiN Barrier Metal Integration
351(6)
K.H. Lee
C.S. Seet
P.H. Gn
H. Kisdarjono
K.L. Sia
V. Lam
S. Lai
Density Oscillation in Sputtered Tantalum Nitride Barrier Metal Thin Films
357(6)
Yanjun Ma
Douglas J. Tweet
Larry Charneski
David R. Evans
Thin 50A MOCVD TiN Films Improve Device and Tool Performance
363(6)
Michael McCarroll
Ming Xi
Mei Chang
Zvi Lando
Shulin Wang
Huan Luo
Verne Hornback
Derryl Allman
Newell Chiesl
High-Temperature Study of Electroplated Copper and TiN Barrier
369(6)
C.H. Seah
S. Mridha
K.C. Tee
L.H. Chan
Effect of Oxygen on the Diffusion of Copper Through Ta2N Diffusion Barrier
375(6)
K.P. Yap
L.H. Chan
Gong Hao
Thomas Osipowicz
S. Balakumar
S.K. Lahiri
Ti/TiN Barriers for <0.25 μm W-Plug Applications
381(6)
W.K. Ye
M. Danek
C. D'Couto
D. Smith
J. Henri
T. Yu
Post-Annealing Free TiN Barrier Process for Sub-Quarter Micron BEOL Technology
387(6)
Bong-Young Yoo
Jae-Hak Kim
Seung-Man Choi
Siyoung Choi
Nyeon-Deok Lee
No-Kyu Kang
Moon-Yaung Lee
Quantum Chemical Study of Model Reactions for CVD of Titanium Nitride from Alkylamido Titanium and Ammonia
393(8)
Koichi IYakamura
Akitomo Tachibana
PART IV: ADVANCED AI METALLIZATION
*Challenges in Designing an Aluminum Sub-0.20 μm BEOL
401(8)
S.J. Weber
L.A. Clevenger
F. Chen
N. Cichy
G. Costrini
D.M. Dobuzinsky
R. Filippi
J. Gambino
L. Gignac
M. Hoinkis
J.L. Hurd
R.C. Iggulden
B. Kiewra
G.Y. Lee
C. Lin
G.Z. Lu
J. Ning
J.F. Nutzel
R. Ramachandran
K. Rodbell
M. Ronay
R.F. Schnabel
B. Spuler
J. Stephens
G. Stojakovic
D. Tobben
Probing AI-Fill Limits with a CVD/PVD Approach
409(6)
M. Hoinkis
L.A. Clevenger
R.C. Iggulden
S.J. Weber
Advanced CVD Afuminum for Sub-0.18 Micron Technology
415(6)
W. Gilbert Lai
Sujit Sharan
Allen Mcteer
John Skrovan
Max Hineman
Ken Hagen
Adam Selsley
Hank Campbell
Paul Castrovillo
Gurtej Sandhu
Complete Contact Filling of Highly Reflective, Multilayered CVD-Al
421(6)
H.S. Lim
M. Yoon
S.B. Kang
B.N. Kim
G.N. Choi
N.S. Park
S.I. Lee
M. Y. Lee
CVD/PVD AI Filling Process with Ti/TiN liner for Dual-Damascene Interconnections
427(6)
M. Morita
M. Honda
N. Ezawa
T. Yoda
K. Goto
A. Sakuma
M. Aruga
IMP Liners for AI Via Speed Fill
433(6)
G.P. Beyer
K. Maex
S. Daniels
N. Maity
D. Bassanini
Factor and Interaction Effects on Relative Median Time Between Electromigration Failure for CVD Aluminum
439(6)
D. Yang
R. Jonnalagadda
B.R. Rogers
J.T. Hillman
R.F. Foster
T.S. Cale
Aluminum CVD for Electronic Devices on Silicon Spherical Semiconductors
445(6)
I.N. Murzin
A.J. Tapiawala
J. Miller
A Theoretical Study of AI-CVD Using Dimethylaluminum Hydride
451(6)
T. Nakajima
T. Tanaka
K. Yamashita
The Effect of the Contact Etch on the Barrier Strength for Half Micron Forcefill™ Aluminum Plus
457(6)
E. Aharoni
R. Fastow
I. Rotstein
R. Shaviv
Process Window of Aluminum Two-Step Process on HDP-IMD Planarized by CMP
463(6)
Hunchul Sohn
Sang-Hwa Lee
Sun-Ho Lee
Sang-Bum Kim
Su-Chan Kim
Seung-Jin Lee
Pius Lim
Cheol-Mo Jeong
Sun-Oo Kim
Hyug-Jin Kwon
Chunseong Park
Investigation of Mechanical Stress Migration in an Aluminum Test Structure
469(6)
X. Yu
K. Weide
Molecular Dynamics Simulation of a Triple Point of Grain Boundaries
475(10)
S. Abdeslam
S. Shingubara
PART V: LOW-k DIELECTRICS
Interaction of Processes and Materials With Silicon Oxide-Based Aerogel Thin Films
485(6)
S.E. Schulz
A. Bertz
W. Leyffer
M. Rennau
I. Streiter
M. Uhlig
T. Winkler
T. Gessner
Integration of Non-Etchback Low-k Methyl Silsesquioxane Polymer Using Electron Beam Cure
491(8)
T. Gao
B. Coenegrachts
J. Waeterloos
M. Van Hove
K. Maex
J.J. Yang
S-Q. Wang
L. Forester
Integration of Low-k ILD for Reducing Digitline Capacitance In DRAM
499(8)
Weimin Li
Gurtej S. Sandhu
Development of a Non-Destructive Thin Film Porosimetry: Pore Size Distribution and Pore Volume of Porous Silica
507(6)
M.R. Baklanov
F.N. Dultsev
E. Kondoh
K.P. Mogilnikov
K. Maex
S-Q. Wang
L. Forester
Air-Gapped Fluorinated Silicon Glass for Unlanded-Via Structure
513(4)
K.C. Wang
C.C. Liu
C.T Lin
W.Y. Hsieh
T.R. Yew
W. Lur
S. W. Sun
Structure Integrity and Reliability of Low-k Interconnects
517(6)
P.S. Ho
P.H. Wang
J. Kasthurigrangan
J.G. Pellerin
R.J. Fox
Cu Wiring in Organic Low-k Interlayer Dielectrics Using a Novel Damascene Process
523(10)
Masanobu Ikeda
Niroshi Kudo
Rika Shinohara
Nobuhiro Misawa
Kazuo Kakuta
Fumihiko Shimpuku
Atsuhiro Tsukune
Masao Yamada
Reliabilities and Dielectric Properties of Fluorinated Amorphous Carbon Films Grown by Plasma-Enhanced CVD
533(8)
Kazuhiko Endo
Keisuke Shinoda
Toru Tatsumi
Yoshihisa Matsubara
Manabu Iguchi
Tadahiko Noriuchi
PART VI: SILICIDES AND ADVANCED DRAM METALLIZATION
*Silicides for ULSI Applications
541(12)
Nader Shamma
Dieter Vook
*Review of BST Thin Film Properties and Potential for 1G-DRAM and Beyond
553(6)
Carlos Mazure
Wolfgang Honlein
Christine Dehm
Salicide Process with Pre-Amorphization and Titanium Alloy
559(6)
Yasuo Matsumiya
Kazuto Ikeda
Tomoji Nakamura
Kazuo Nakajima
Contact Barrier Layers for 0.20 μm Technology
565(6)
R.C. Iggulden
R.V. Joshi
E.W. Kiewra
D.M. Tibel
S.J. Weber
A Novel Low-Temperature CVD-Ti/TiN Technology for the Metallization of GB DRAM Scale High-Aspect Ratio Deep Contacts
571(6)
J.T. Kim
M.S. Chae
N.S. Seo
S.N. Lee
S.D. Kim
C.T. Kim
Low-Resistivity Ti-Polycide Integration in Sub-0.25 Micron CMOS Devices
577(6)
L.Y. Chen
H. Shih
W.Y. Hsieh
K. Hsieh
T.A. Lin
W. Lur
S. W. Sun
Crystallographic Structure and Contact Resistance of Self-Aligned Nitrided Barrier-Layers on TiSi2 for Fully Self-Aligned Metallization MOSFETs
583(6)
Chang-Hun Lee
Takamasa Nishimura
Hideki Matsuhashi
Michio Yokoyama
Kazuya Masu
Kazuo Tsubouchi
Integrated CVD Ti and Barrier Layer for Deep Contact Application
589(4)
K. Hsieh
W.Y. Hsieh
J.Y. Wu
W. Lur
S.W. Sun
Chemical Vapor Deposition of Titanium Nitride from Titanium Tetrachloride and Ammonia for Advanced DRAM Applications
593(12)
Jianhua Nu
Yin Lin
Meng-chun Kuo
Fufa Chen
Ming Xi
Mei Chang
Zvi P. Lando
Pravin Narwankar
Russell Ellwanger
Effect of Ge Atoms on Intertacial Reactions of Ti/ and Zr/Si1-xGeX/Si Contacts
605(1)
Hirotaka Iwano
Hiroki Hayashi
Motoki Yoshinaga
Osamu Nakatsuka
Shigeaki Zaima
Yukio Yasuda
Contact Resistivities and Electrical Characteristics of Co/SI Contact by Rapid Thermal Annealing
605(8)
Osamu Nakatsuka
Tetsuo Ashizawa
Hirotaka Iwano
Shigeaki Zaima
Yukio Yasuda
Low-Resistivity W/WSiN/poly-Si ``Polymetal'' Gate Electrode for G-DRAM and Beyond
613(12)
Yasushi Akasaka
Kazuaki Nakajima
Kiyotaka Miyano
Shintaro Suehiro
Yohei Hiura
Atsushi Azuma
Yoshiaki Toyoshima
Kyoichi Suguro
W/Barrier/Poly-Si Wordline Integration Technology With Improved Wet-Hydrogen Selective Oxidation for Gigabit-Scale DRAMs
625(6)
M. Saito
N. Yamamoto
M. Yoshida
Y Tanabe
T. Umezawa
N. Kawakami
T. Nagahama
N. Fukuda
Y. Hanaoka
K. Kawakita
T. Fukuda
T. Sekiguchi
Y Tadaki
N. Kobayashi
Improvement of W/Poly-Si Etching Selectivity for Polymetal Gate in G-Bit Memory
631(6)
K. Nakajima
K. Miyano
Y. Akasaka
Y. Hiura
A. Azuma
Y. Toyoshima
K. Suguro
T Arikado
An Application of O2-Doped CVD-TiN to an Upper Electrode of Ta2O5 Capacitors
637(8)
N. Nishikawa
T. Suzuki
A. Tsukune
M. Yamada
PART VII: INTEGRATION OF ADVANCED METALLIZATION MATERIALS
*Overview of Cu Contamination During Integration in a Dual-Damascene Architecture for Sub-Quarter Micron Technology
645(8)
J. Torres
J. Palleau
F+Tardif
H. Bernard
P. Motte
R. Pantel
M. Juhel
Comparison of Dual-Damascene Strategies for Copper Interconnects with Polymer IMDs
653(8)
David T. Price
Ronald J. Gutmann
Advanced Thermally Stable Contact Technology for Fabricating Embedded and Gigabit Metal-Based DRAMs
661(8)
Yoshitaka Nakamura
Makoto Yoshida
Hidekazu Goto
Takuya Fukuda
Nobuyoshi Kobayashi
Tsuyoshi Tamaru
Naoki Fukuda
Hideo Aoki
Isamu Asano
Toshihiro Sekiguchi
Yoshitaka Tadaki
Tomoko Sekiguchi
Yasuhiro Mitsui
Fumiko Yano
*Integration, Reliability, and Functionality of ULSI Chips With Copper Wiring
669(8)
D.C. Edelstein
A Robust High-Aspect Ratio Copper Dual-Damascene Process
677(6)
Y. Huang
W. Y. Hsieh
J. Hsiung
T R. Yew
W. Lur
S. W. Sun
L.Y. Chen
R. Tao
D. Y Cong
S. Gandikota
S. Ramaswami
CVD Cu and CVD TiN Process Integration for Sub-0.25 Micron Technologies
683(8)
J. Torres
Y Morand
O. Demolliens
J. Palleau
P. Motte
R. Pantel
M. Juhel
Electrical Characteristics and Microstructure of 0.3 μm-Pitched Aluminum-Damascene Interconnects
691(8)
Akira Furuya
Toshiyuki Takewaki
Kuniko Kikuta
Yoshihiro Hayashi
The Use of an Anneal Affer Metal Etch to Eliminate Stress-Induced Voiding in the 0.25 μm Process Technology for Integrated Circuits
699(6)
Paul Besser
Khanh Tran
Minh van Ngo
Bryan Tracy
Jeffrey Shields
Jerry Tsiang
Amit Marathe
Maryanne Davis
ULSI Scaling and Interconnect Technology
705(12)
Takamaro Kikkawa
Integration of Fluorinated Carbon Film in Cu-Damascene Structure Using Novel Engineering
717(8)
Takashi Akahori
Yusuke Muraki
Koichiro Inazawa
Yoshio Kinoshita
Satoru Kawakami
Tomohiro Ohta
Takaaki Matsuoka
Integration of Cu Electroplating Technology With Physical Vapor Deposition of Ta and Cu Seed Layers Using a Hollow Cathode Magnetron Plasma Source
725(6)
E.R. Klawuhn
M. Hamed
K.A. Ashtiani
M.A. Biberger
L. Hartsough
R. Jackson
K. Levy
Integration and Materials Characterization of Advanced CVD TiN Barriers With a Tungsten Plug Technology in a 0.18 μm CMOS Process
731(6)
A. Brun
V. Lasserre
The Roles of ``3d/2d'' and ``3d/3d'' Topography Simulators in Process Development: Model Development and Process Integration
737(6)
T.S. Cale
T.P. Merchant
L.J. Borucki
Uncapped W-Plug Backend Process Polymer Strip Integration Issues
743(6)
Shao-Wen Hsia
Peter Huang
Bud Yung
Maureen Brongo
Kim Fischbach
Via Faliure in Borderless W-Plug Via With VEST Scheme
749(6)
Dong-Chul Kwon
Young-Jin Wee
Hyeon-Deok Lee
No-Kyu Kang
Moon-Yong Lee
Integration of PECVD SiOxNy as Anti-Reflective Coating for DUV Lithography of Metal layers for Sub-0.25 μm CMOS Technology
755(6)
Jiro Yota
Shao-Wen Hsia
Julia Wu
George Talor
Ben D. Bunday
Chau Nguyen
Angela Trespando
Mon Siriwatwechakul
Kenneth P. MacWilliams
Srinivasan Sudararajan
Jon W. Hander
The Effect of SOG-Curing Condition on Stress-Induced Void Formation in Hot Aluminum Lines During the SOG-Passivation Process
761(6)
Sun-Oo Kim
Hyunchul Sohn
Sang-Hwa Lee
Seung-Jin Lee
Sun-Ho Lee
Sang-Bum Kim
Su-Chan Kim
Pius Lim
Jang-Sik Kim
Tak-Ki liong
Han-Min Kim
Hyug-Jin Kwon
Chunseong Park
Ruthenium Encapsulation of Copper Interconnections by Selective Electroless Plating
767(6)
M. Yalta
A. Hirata
K. Machida
H. Ishii
K. Saito
N. Kawamura
N. Awaya
Panel Discussion: Integrating Copper Metallization and low-k Dielectrics into State-of-the-Art Metallization Schemes 773(4)
Author Index 777(6)
Subject Index2 783

Supplemental Materials

What is included with this book?

The New copy of this book will include any supplemental materials advertised. Please check the title of the book to determine if it should include any access cards, study guides, lab manuals, CDs, etc.

The Used, Rental and eBook copies of this book are not guaranteed to include any supplemental materials. Typically, only the book itself is included. This is true even if the title states it includes any access cards, study guides, lab manuals, CDs, etc.

Rewards Program