did-you-know? rent-now

Amazon no longer offers textbook rentals. We do!

did-you-know? rent-now

Amazon no longer offers textbook rentals. We do!

We're the #1 textbook rental company. Let us show you why.

9783540678199

Low Dielectric Constant Materials for Ic Applications

by ; ;
  • ISBN13:

    9783540678199

  • ISBN10:

    3540678190

  • Format: Hardcover
  • Copyright: 2003-02-01
  • Publisher: Springer Verlag
  • Purchase Benefits
  • Free Shipping Icon Free Shipping On Orders Over $35!
    Your order must be $35 or more to qualify for free economy shipping. Bulk sales, PO's, Marketplace items, eBooks and apparel do not qualify for this offer.
  • eCampus.com Logo Get Rewarded for Ordering Your Textbooks! Enroll Now
List Price: $219.99 Save up to $166.33
  • Digital
    $116.27
    Add to Cart

    DURATION
    PRICE

Supplemental Materials

What is included with this book?

Summary

Low dielectric constant materials are an important component of microelectronic devices. This comprehensive book covers the latest low-dielectric-constant (low-k) materials technology, thin film materials characterization, integration and reliability for back-end interconnects and packaging applications in microelectronics. Highly informative contributions from leading academic and industrial laboratories provide comprehensive information about materials technologies for < 0.18 um process technology. Topics include: Organic dielectric materials, Inorganic dielectric materials, Composite dielectric materials, Metrology and characterization techniques, Integration, Reliability. This volume will be an invaluable resource for professionals, scientists, researchers and graduate students involved in dielectric technology development, materials science, polymer science, and semiconductor devices and processing.

Table of Contents

Overview on Low Dielectric Constant Materials for IC Applications
1(22)
P.S. Ho
J. Leu
W.W. Lee
Introduction
1(3)
Dielectric Constant and Bonding Characteristics
4(4)
Material Properties and Integration Requirements
8(3)
Characterization of Low-k Dielectrics
11(3)
Porous Low-k Materials
14(4)
Conclusion
18(5)
References
19(4)
Materials Issues and Characterization of Low-k Dielectric Materials
23(52)
E.T. Ryan
A.J. McKerrow
J. Leu
P.S. Ho
Introduction
23(3)
Thin-Film Material Characterization
26(11)
General Structure-Property Relationships
37(11)
Dielectric Constant
37(6)
Thermal Properties
43(3)
Moisture Uptake
46(1)
Thermomechanical and Thermal Stress Properties
46(2)
Fluorinated Polyimide: Effect of Chemical-Structure Modifications on Film Properties
48(3)
Crosslinked and Thermosetting Materials
51(5)
Parylene Polymers: Effect of Thermal History on Film Properties
56(8)
Future Challenges
64(11)
References
68(7)
Structure and Property Characterization of Low-k Dielectric Porous Thin Films Determined by X-Ray Reflectivity and Small-Angle Neutron Scattering
75(20)
E.K. Lin
H. Lee
B.J. Bauer
H. Wang
J.T. Wetzel
W. Wu
Introduction
75(1)
Two-Phase Methodology
76(7)
Experimental
77(2)
Two-Phase Analysis Using the Debye Model
79(1)
Results and Discussion
80(3)
Three-Phase Methodology
83(3)
Films with Ordered Porous Structure
86(1)
Limits of SANS Characterization Methods
87(1)
Future Developments
88(4)
Contrast Variation SXR
88(1)
Inhomogeneous Wall Composition
89(3)
Conclusion
92(3)
References
92(3)
Vapor Deposition of Low-k Polymeric Dielectrics
95(26)
W.N. Gill
S. Rogojevic
T. Lu
Introduction
95(2)
Vapor-Phase Deposition and Polymerization on Substrates
97(1)
Parylenes
98(13)
Synthesis Review
99(1)
Properties of Parylene-N
100(1)
Mechanisms and Models of Parylene Polymerization
101(5)
Integration Issues with Parylene-N
106(1)
Synthesis and Properties of Parylene-F
107(3)
Integration Issues with Parylene-F
110(1)
Polynaphthalene and Its Derivatives
111(3)
Experimental System for Polynaphthalene Synthesis
111(2)
Properties of Polynaphthalene and Fluorinated Polynaphthalene
113(1)
Teflon and Its Derivatives
114(2)
Synthesis of Teflon-AF
114(1)
Properties of Teflon-AF
115(1)
Integration Issues with Teflon
115(1)
Vapor-Deposited Polyimides
116(1)
Prospects for Vapor-Depositable Low-k Polymers
117(4)
References
117(4)
Plasma-Enhanced Chemical Vapor Deposition of FSG and a-C:F Low-k Materials
121(46)
K. Endo
K. Kishimoto
Y. Matsubara
K. Koyanagi
Introduction
121(1)
FSG Films
122(22)
Introduction
122(1)
General Characteristics
122(6)
HDP-CVD FSG Film
128(16)
a-C:F Films
144(23)
Introduction
144(1)
Deposition of a-C:F by PE-CVD and Controlling Fluorine Concentration
145(1)
Control of F/C Ratio by Helicon-Wave HDP-CVD
146(5)
Mechanism of the Reduction of the Dielectric Constant of a-C:F
151(5)
Signal-Delay Measurements of CMOS Circuits
156(6)
Conclusion
162(1)
References
163(4)
Porous Organosilicates for On-Chip Applications: Dielectric Generational Extendibility by the Introduction of Porosity
167(36)
W. Volksen
C.J. Hawker
J.L. Hedrick
V. Lee
T. Magbitang
M. Toney
R.D. Miller
E. Huang
J. Liu
K.G. Lynn
M. Petkov
K. Rodbell
M.H. Weber
Introduction
167(4)
Porous Silica
171(2)
Organosilicates
173(2)
Porogens
175(5)
Porous Organosilicate Matrix Resins
180(3)
Formation of Nanohybrids
183(3)
Porous Organosilicates
186(1)
Characterization of Porous Organosilicates
187(9)
Conclusion
196(7)
References
198(5)
Metal/Polymer Interfacial Interactions
203(18)
D.M. Martini
J.A. Kelber
Introduction
203(1)
Experimental Methods
204(5)
XPS and AES Analysis
205(1)
XPS for Nucleation Modes
206(1)
Other Surface-Science Techniques
207(1)
Metal-Deposition Techniques
207(2)
Metallization of Fluoropolymers
209(7)
Metal Evaporation
209(1)
Sputter Deposition
210(1)
Aluminum MOCVD
210(3)
Copper MOCVD
213(3)
Polymers on Metals: Adhesion to Cu
216(2)
Introduction to SiC films
216(1)
Vinyl Silane-Derived Films on Cu
217(1)
Conclusion
218(3)
References
219(2)
Diffusion of Metals in Polymers and During Metal/Polymer Interface Formation
221(32)
F. Faupel
A. Thran
M. Kiene
T. Strunskus
V. Zaporojtchenko
K. Behnke
Introduction
221(2)
Thermodynamic Considerations
223(4)
Effect of Metal-Polymer Interaction on the Mobility of Metal Atoms
227(2)
Surface Diffusion, Nucleation, and Growth of Metal Films
229(6)
Diffusion and Aggregation
235(6)
Atomic Diffusion
241(6)
Conclusion
247(6)
References
248(5)
Plasma Etching of Low Dielectric Constant Materials
253(24)
G.S. Oehrlein
T.E.F.M. Standaert
P.J. Matsuo
Introduction
253(2)
Technological Requirements and Patterning Approaches
255(5)
Damascene Processing
255(2)
Plasma Etching
257(1)
Important Low Dielectric Constant Materials
258(2)
Fluorocarbon-Based Etching Processes
260(5)
Fluorine-Doped SiO2 (SiOF), Hydrogen Silsequioxane (HSQ) and Methyl Silsequioxane (MSQ)
260(3)
Porous Silica Films
263(2)
Directional Etching of Organic Low-k Materials
265(6)
Hydrocarbon-Based Organic Materials: Etching of Olyarylene Ether (PAE-2) in Ar/O2/N2 Gas Mixtures
268(1)
Fluorocarbon-Based Organic Materials: Polytetrafluoroethylene
269(2)
Hybrid Materials
271(1)
Postetch Mask-Stripping and Via-Cleaning Processes
271(3)
Conclusion
274(3)
References
275(2)
Integration of SiLK Semiconductor Dielectric
277(28)
J.J. Waeterloos
Introduction
277(1)
SiLK Semiconductor Dielectric
278(1)
Subtractive Technologies
279(11)
Introduction
279(2)
Integration Flow for Subtractive Interconnects
281(1)
Integration Unit Steps
282(6)
Electrical Results
288(1)
Conclusion
288(2)
Damascene Technologies
290(11)
Introduction
290(1)
Embedded-Hardmask Approach for Dual Damascene
290(7)
Dual Damascene Schemes with Multilayered Hardmasks
297(4)
Cost-of-Ownership
301(1)
Conclusion
302(3)
References
303(2)
Index 305

Supplemental Materials

What is included with this book?

The New copy of this book will include any supplemental materials advertised. Please check the title of the book to determine if it should include any access cards, study guides, lab manuals, CDs, etc.

The Used, Rental and eBook copies of this book are not guaranteed to include any supplemental materials. Typically, only the book itself is included. This is true even if the title states it includes any access cards, study guides, lab manuals, CDs, etc.

Rewards Program