did-you-know? rent-now

Amazon no longer offers textbook rentals. We do!

did-you-know? rent-now

Amazon no longer offers textbook rentals. We do!

We're the #1 textbook rental company. Let us show you why.

9781558995208

Materials, Technology and Reliability for Advanced Interconnects and Low-K Dielectrics

by ; ; ; ;
  • ISBN13:

    9781558995208

  • ISBN10:

    155899520X

  • Format: Hardcover
  • Copyright: 2001-05-01
  • Publisher: Materials Research Society
  • Purchase Benefits
List Price: $40.99

Summary

This book highlights important achievements and challenges in advanced interconnects and low-k dielectrics as employed in the microelectronics industry. The replacement of Al alloys with Cu along with the introduction of new barrier materials to protect Cu from chemical attack, and the utilization of new dielectric materials with a lower relative dielectric constant k than SiO2 in multilevel metallization structures of increasing complexity, are the major themes of evolution in this field. Invited reviews illustrate the significant progress that has been achieved as well as the challenges that remain. Contributed papers presented by researchers from different countries demonstrate progress on current topics using a truly multidisciplinary approach.

Table of Contents

Preface xv
Acknowledgments xvii
Materials Research Society Symposium Proceedings xviii
MECHANICAL PROPERTIES
On The Mechanical Integrity of Ultra Low Dielectric Constant Materials For Use In ULSI BEOL Structures
1(2)
E. O. Shaffer II
K. E. Howard
M. E. Mills
P. H. Townsend III
The Use of the Four-Point Bending Technique for Determining The Strength of Low K Dielectric/Barrier Interface
2(1)
T. Y. Tsui
C. Goldberg
G. Braeckelmann
S. Filipiak
B. Ekstrom
J. Lee
E. Jackson
M. Herrick
J. Iacoponi
J. I. Martin
D. Sieloff
The Effect of Fatigue On The Adhesion and Subcritical Debonding of Benzocyclobutene/Silicon Dioxide Interfaces
3(1)
J. M. Snodgrass
R. H. Dauskardt
A Quantitative Study of the Adhesion Between Copper, Barrier and Organic Low-K Materials
4(3)
F. Lanckmans
S. Brongersma
I. Varga
H. Bender
E. Beyne
K. Maex
Nanoscale Elastic Imaging of Aluminum/Low-k Dielectric Interconnect Structures
7(1)
G. S. Shekhawat
O. V. Kolosov
G. A. D. Briggs
E. O. Shaffer
S. Martin
R. E. Geer
Concentration and Stress Evolution During Electromigration In Passivated Al (0.25 at. % Cu) Conductor Lines
8
H. Kao
G. Cargill III
C. Hu
INTERCONNECT RELIABILITY
Circuit-Level and Layout-Specific Interconnect Reliability Assessments
2(1)
S. P. Hau-Riege
C. V. Thompson
C. S. Hau-Riege
V. K. Andleigh
Y. Chery
D. Troxel
Electromigration Reliability of Dual-Damascene Cu/Oxide Interconnects
3(1)
E. T. Ogawa
V. A. Blaschke
A. Bierwag
K. Lee
H. Matsuhashi
D. Griffiths
A. Ramamurthi
P. R. Justison
R. H. Havemann
P. S. Ho
Electromigration Characterization Versus Texture Analysis In Damascene Copper Interconnects
4(1)
T. Berger
L. Arnaud
R. Gonella
I. Touet
G. Lormand
Via Electromigration Lifetime Improvement of Aluminum Dual-Damascene Interconnects By Using Soft Low-k Organic SOG Interlayer Dielectrics
5(1)
H. Kaneko
T. Usui
S. Ito
M. Hasunuma
Stress Migration Behavior of Multilevel ULSI AlCu-Metallizations
6(1)
A. H. Fischer
A. E. Zitzelsberger
M. Hommel
A. von Glasow
A Percolative Approach to Electromigration Modelling
7(1)
C. Pennetta
L. Reggiani
G. Trefan
F. Fantini
A. Scorzoni
I. DeMunari
The Effects of Width Transitions on the Reliability of Interconnects
8(1)
C. S. Hau-Riege
C. V. Thompson
T. N. Marieb
Novel Low-Dual-Phase Materials Prepared by PECVD
9
A. Grill
V. V. Patel
LOW-k DIELECTRICS
Study of SiH4-Based PECVD Low-k Carbon-Doped Silicon Oxide
3(1)
H. Yang
D. J. Tweet
L. H. Stecker
W. Pan
D. R. Evans
S. Hsu
Integration and Characterization of Low Carbon Content SiOxCyHz Low-k Materials For < 0.18 μm Dual Damascene Application
4(2)
J-H. Lee
N. Chopra
J. Ma
Y-C. Lu
T-F. Huang
R. Willecke
W-F. Yau
D. Cheung
E. Yieh
Synthetic Control and Properties of Processible Poly(methylsilesquioxane)s
6(2)
J. Lee
K. Char
H. Kim
H. Rhee
H. Ro
D. Yoo
D. Y. Yoon
Theoretical and Experimental Analysis of the Low Dielectric Constant of Flourintated Silica
8
A. A. Demkov
S. Zollner
R. Liu
D. Werho
M. Kottke
R. B. Gregory
M. Angyal
S. Filipiak
G. B. Adams
LOW-k DIELECTRICS-POROUS MATERIALS
Structure And Property Characterization of Porous Low-K Dielectric Constant Thin Films Using X-ray Reflectivity and Small Angle Neutron Scattering
1(1)
E. K. Lin
W. Wu
C. Jin
J. T. Wetzel
Characterization of Low-K Dielectric Films by Ellipsometric Porosimetry
2(1)
M. R. Baklanov
K. P. Mogilnikov
Probing Pore Characteristics in Low-K Thin Films Using Positronium Annihilation Lifetime Spectroscopy
3(2)
D. W. Gidley
W. E. Frieze
T. L. Dull
J. N. Sun
A. F. Yee
Ultra Low-k Mesoporous Silica Films: Synthesis, Film Properties and One-Level Copper Damascene Evaluation
5(2)
C. Jin
J. Liu
X. Li
C. Coyle
J. Birnbum
G. E. Fryxell
R. E. Williford
S. Baskaran
A Multilevel Metal Interconnect Technology With Intra-Metal Air-Gap for Quarter-Micron-And-Beyond High-Performance Processes
7(1)
M. Lin
C. Chang
T. Hung
M. Lin
H. Lin
Fabrication of Air-Gaps Between Cu Interconnects For Low Intralevel K
8
D. M. Bhusari
M. D. Wedlake
P. A. Kohl
C. Case
F. P. Klemens
J. Miner
B. Lee
R. J. Gutmann
J. J. Lee
R. Shick
L. Rhodes
POSTER SESSION: LOW-k DIELECTRICS
Processing, Properties, and CMP Characteristics of Spin-On Polymer: HSQ
1(2)
W. Lin
C. Yang
W. Chen
Material Properties of A SiOC Low Dielectric Constant Film With Extendibility to K < 2.7 < 2.7
3(1)
E. S. Lopata
L. Young
J. T. Felts
Effects Of Curing Temperature On The Mechanical Reliability Of Low Dielectric-Constant Spin-On-Glasses
4(2)
Y. Toivola
R. F. Cook
C. Saha
Characterization Of Fluorocarbon Deposition Of Fluorinated Amorphous Carbon Thin Films With Low Dielectric Constant And High Thermal Stability
6(2)
S. Han
B. Bae
High-Temperature Mechanical Behavior And Phase Morphology Of Poly (tetrafluoroethylene) siloxane Nanocomposites Used As Ultra Low-k Dielectrics
8(2)
P. Xu
S. Qu
T. Rosenmayer
M. Lin
Structural Analysis Of Fluorine-Dopled Silicon Dioxide Dielectric Thin Films by Micro-Raman Spectroscopy
10(1)
J. L. Coffer
W. Zerda
K. J. Taylor
S. Martin
Study Of Dry Photoresist Stripping Processes For Hydrogen Silsesquioxane Thin Films
11(1)
H. Liou
J. Duel
V. Finch
Q. Han
P. Sakthivel
R. Ruffin
Curing Study Of Hydrogen Silsesquioxane Under H2N3 Ambient
12(2)
H. Liou
E. Dehate
J. Duel
F. Dall
Characterization and Integration in Cu Damascene Structures Of AURORA, An Inorganic Low-K Dielectric
14(1)
R. A. Donaton
B. Coenegrachts
E. Sleeckx
M. Schaekers
G. Sophie
N. Matsuki
M. R. Baklanov
H. Struyf
M. Lepage
S. Vanhaelemeersch
G. Beyer
M. Stucchi
D. De Roest
K. Maex
Low Dielectric Constant Porous Silsesquioxane Films: Effect Of Thermal Treatment
15(1)
Y. Siew
G. Sarkar X. Hu
Y. Xu
A. See
Microstructure And Electronic Properties Of Thin Film Nanoporous Silica As A Function Of Processing And Annealing Methods
16(1)
C. Caragianis-Broadbridge
J. R. Miecznikowski
W. Zhu
Z. Luo
J. Han
A. Hein Lehman
Photo-Induced Growth Of Low Dielectric Constant Porous Silica Film At Room Temperature
17(1)
J-Y. Zhang
I.W. Boyd
Ultra Low-K Inorganic Silsequioxane Films with Tunable Electrical and Mechanical Properties
18(4)
T. Deis
C. Saha
E. S. Moyer
K. Chung
Y. Liu
M. J. Spaulding
A. Albaugh
W. Chen
J. N. Bremmer
A Three-Phase Model For The Structure Of Porous Thin Films Determined by X-ray Reflectivity And Small-Angle Neutron Scattering
22(1)
W. Wu
E. K. Lin
C. Jin
J. T. Wetzel
Grazing Incidence Small Angle X-ray Scattering Study On Low Dielectric Thin Films
23(2)
C. Hsu
H. Lee
K. S. Liang
U. Jeng
D. Windover
T. Lu
C. Jin
Processing, Characterization and Reliability Of Silica Xerogel Films For Interlayer Dielectric Applications
25(1)
A. Jain
S. Rogojevic
F. Wang
W. N. Gill
P. C. Wayner, Jr.
J. L. Plawsky
A. Haberl
W. Lanford
Sol-Gel Derived Silica Layers For Low-k Dielectrics Applications
26
S. Acosta
A. Ayral
C. Guizard
C. Lecornec
G. Passemard
M. Moussavi
BARRIER AND SEED LAYER-DEPOSITION TECHNIQUES
Seed-Layer Deposition For Sub 0.25 Micron Cu Metallization Using A Line Cusp Magnetron Plasma Source
3(1)
S. Wickramanayaka
H. Nagahama
E. Watanabe
T. Hayashi
M. Sato
Y. Nakagawa
S. Hasegawa
S. Mizuno
Y. Numasawa
Atomic Layer CVD For Continuously Shrinking Devices
4(1)
S. Haukka
K. Elers
M. Tuominen
Properties Of TiN Thin Films Deposited by ALCVD As Barrier For Cu Metallization
5(2)
A. Satta
G. Beyer
K. Maex
K. Elers
S. Haukka
A. Vantomme
A Study On CVD TaN As A Diffusion Barrier For Cu Interconnects
7(1)
S. Im
S. Kim
K. Park
S. Cho
K. Kim
The 2,2,6,6-tetramethyl-2-sila-3,5-heptanedione Route to The Chemical Vapor Deposition Of Copper For Gigascale Interconnect Applications
8
R. U. Claessen
J. T. Welch
P. J. Toscano
K. K. Banger
A. M. Kornilov
E. T. Eisenbraun
A. E. Kaloyeros
INTERCONNECTS
Fabrication And performance Limits Of Sub-0.1 μm Cu Interconnects
1(2)
T. Kuan
C. Inoki
G. Oehrlein
K. Rose
Y. Zhao
G. Wang
S. Rossnagel
C. Carbral
Tantalum-Nitride Diffusion Barrier Studies Using The Transient-Ion-Draft Technique For Copper Detection
3(1)
T. Heiser
C. Brochard
M. Swaanen
Reliability Of Tantalum Based Diffusion Barriers Between Cu And Si
4(1)
T. Laurila
K. Zeng
A. Seppala
J. Molarius
I. Suni
J. K. Kivilahti
Microstructural Analysis Of Copper Interconnections Using Picosecond Ultrasonics
5
J. M. Harper
S. G. Malhotra
C. Cabral, Jr.
C. Lavoie
H. Hao
W. Homsi
H. J. Maris
POSTER SESSION: INTERCONNECTS
Integrated DVD-PVD Al Plug Process For Sub-Quarter Micron Devices: Effects Of Underlayer On The Via Filling And The Microstructure Of The Al Film
1(3)
W. Lee
Jung Joo Kim
Jun Junki Kim
J. Park
H. Kwon
H. Park
S. Rha
Backside Copper Contamination Issues In CMOS Process Integration-A Case Study
4(1)
K. Prasad
K. Tee
L. Chan
A. K. See
Localized Measurement Of Strains In Damascene Copper Interconnects by Convergent-Beam Electron Diffraction
5(1)
J. A. Nucci
R. R. Keller
S. Kraemer
C. A. Volkert
M. E. Gross
Strain Measurements From Single Grains In Passivated Aluminum Conductor Lines by X-ray Microdiffraction During Electromigration
6(1)
K. J. Hwang
S. G. Cargill III
T. Marieb
Experimental Studies Of The Reliability Of Interconnect Trees
7(1)
S. P. Hau-Riege
C. V. Thompson
Grain Orientation And Strain Measurements In Sub-Micron Wide Passivated Individual Aluminum Test Structures
8(1)
N. Tamura
B. C. Valek
R. Spolenak
A. A. MacDowell
R. S. Celestre
H. A. Padmore
W. L. Brown
T. Marieb
J. C. Bravman
B. W. Batterman
J. R. Patel
The Influence Of Stress-Induced Voiding On The Electromigration Behavior Of AlCu Interconnects
9(2)
A. E. Zitzelsberger
A. H. Fischer
Electromigration-Induced Stress Interaction Between Via And Polygranular Cluster
11
Y. Park
I. Choi
Y. Joo
POSTER SESSION: INTERCONNECTS: TEXTURE
Trench And Via Filling With Electroplated Copper: Effect Of Current Density And Pulse Waveform
1(1)
C. Seah
S. Mridha
Y. Siew
G. Sarkar
L. Chan
Deposition Of Smooth Thin Cu Films In Deep Submicron Trench by Plasma CVD Reactor With H Atom Source
2(4)
M. Shiratani
H. J. Jin
Y. Nakatake
K. Koga
T. Kinoshita
Y. Watanabe
Formation Of AlxOyNz Barriers For Advanced Silver Metallization
6(1)
Y. Wang
T. L. Alford
Kinetics Model For The Self-Encapsulation Of Ag/Al Bilayers
7(4)
Y. Wang
T. L. Alford
J. W. Mayer
The Integration Of Low-k Dielectric Material Hydrogen Silsesquioxane (HSQ) with Nitride Thin Films As Barriers
11(1)
Y. Zeng
L. Chen
T. L. Alford
Volatile Liquid Precursors For The Chemical Vapor Deposition (CVD) Of Thin Films Containing Tungsten
12(1)
R. G. Gordon
S. Barry
R. N. Broomhall-Dillard
V. A. Wagner
Y. Wang
A Comparative Study Of Ti/Low-k HSQ (hydrogen Silsesquioxane) And Ti/TEOS (tetraethylorthosilicate) Structures At Elevated Temperatures
13(1)
Y. Zeng
L. Chen
T. L. Alford
High Density Plasma Silicon Carbide As A Barrier/Etch Stop Film For Copper Damascene Interconnects
14(2)
H. M.'Saad
S. Cho
M. Vellaikal
Z. Li
Evolution Of Surface Morphology During Cu(TMVS) (hfac) Sourced Copper CVD
16(1)
D. Yang
J. Hong
T. S. Cale
Studies Of Copper Surfaces Modified by Thermal And Plasma Treatments
17(1)
G. P. Beyer
M. Baklanov
T. Conard
K. Maex
Study Of Ta As A Diffusion Barrier In Cu/SiO2 Structure
18(1)
J. S. Pan
A. T. S. Wee
C. H. A. Huan
J. W. Chai
J. H. Zhang
Organic Solution Deposition Of Copper Seed Layers Onto Barrier Metals
19(1)
H. Gu
R. Fang
T. J. O'Keefe
M. J. O'Keefe
W. S. Shih
J. A. Snook
K. D. Leedy
R. Cortez
Stress, Microstructure And Temperature Stability Of Reactive Sputter Deposited Wnx Thin Films
20
K. D. Leedy
M. J. O'Keefe
J. G. Wilson
R. Osterday
J. T. Grant
JOINT SESSION: GRAIN EVOLUTION OF METALS
Room Temperature Recrystallization Of Electroplated Copper Thin Films: Methods And mechanisms
1(1)
D. Walther
M. E. Gross
K. Evans-Lutterodt
W. L. Brown
M. Oh
S. Merchant
P. naresh
The Effects Of The Mechanical Properties Of The Confinement Material On Electromigration In Metallic Interconnects
2(1)
S. P. Hau-Riege
C. V. Thompson
Microtexture And Strain In Electroplated Copper Interconnects
3(2)
R. Spolenak
D. L. Barr
M. E. Gross
K. Evans-Lutterdot
W. L. Brown
N. Tamura
A. A. Macdowell
R. S. Celestre
H. A. Padmore
B. C. Valek
J. C. Bravman
P. Flinn
T. Marieb
R. R. Keller
B. W. Batterman
J. R. Patel
Characterization of Cu-Al Alloy/SiO2 Interface Microstructure
5(2)
P.-I. Wang
S. P. Murarka
G.-R. Yang
E. Barnat
T.-M. Lu
Y.-C. Chen
X. Li
K. Rajan
Observation of Long-Range Orientational Ordering in Metal Films Evaporated at Oblique Incidence Onto Glass
7(1)
D. L. Everitt
X. D. Zhu
W. J. Miller
N. L. Abbott
Grain Boundary Curvature in Polycrystalline Metallic Thin Films
8(2)
A. H. King
R. Mangat
K. Owusu-Boahen
Interfacial TiAl3 Growth: In Situ TEM Observations and Numerical Simulations
10
X. Federspiel
M. Ignat
L. Guettaz
C. Bergman
J. Phillibert
A. Mack
H. Fujimoto
T. Marieb
JOINT SESSION: PROCESS INTEGRATION AND MANUFACTURABILITY
Technique Of Surface Control With The Electrolyzed D. I. water For Post CMP Cleaning
2(1)
M. Shirakashi
K. Itoh
I. Katakabe
M. Kamezawa
S. Kihara
M. Tsujimura
T. Saitoh
K. Yamada
N. Miyashita
M. Kodera
Y. Matsui
A New Poly-Si CMP Process with Small Erosion For Advanced Trench Isolation Process
3(3)
N. Miyashita
S. Uekusa
T. Nishioka
S. Iwami
Removal Rate, Uniformity and Defectivity Studies Of Chemical Mechanical Polishing of BPSG Films
6(2)
B. A. Bonner
B. Fishkin
J. David
C. Garretson
T. H. Osterheld
Using Wafer-Scale Patterns For CMP Analysis
8(2)
B. Lee
T. Gan
D. S. Boning
J. David
B. A. Bonner
P. McKeever
T. H. Osterheld
Planarization of Copper Damascene Interconnects by Spin-Etch Process: A Chemical Approach
10
S. P. Mukherjee
J. A. Levert
D. S. DeBear
Author Index
Subject Index

Supplemental Materials

What is included with this book?

The New copy of this book will include any supplemental materials advertised. Please check the title of the book to determine if it should include any access cards, study guides, lab manuals, CDs, etc.

The Used, Rental and eBook copies of this book are not guaranteed to include any supplemental materials. Typically, only the book itself is included. This is true even if the title states it includes any access cards, study guides, lab manuals, CDs, etc.

Rewards Program