did-you-know? rent-now

Amazon no longer offers textbook rentals. We do!

did-you-know? rent-now

Amazon no longer offers textbook rentals. We do!

We're the #1 textbook rental company. Let us show you why.

9781558998162

Materials, Technology and Reliability for Advanced Interconnects 2005

by ; ; ; ;
  • ISBN13:

    9781558998162

  • ISBN10:

    1558998160

  • Format: Hardcover
  • Copyright: 2005-08-26
  • Publisher: Cambridge Univ Pr
  • Purchase Benefits
  • Free Shipping Icon Free Shipping On Orders Over $35!
    Your order must be $35 or more to qualify for free economy shipping. Bulk sales, PO's, Marketplace items, eBooks and apparel do not qualify for this offer.
  • eCampus.com Logo Get Rewarded for Ordering Your Textbooks! Enroll Now
List Price: $40.99

Summary

The continued scalling of physical dimentions in the integrated-circuits industry necessitates the introduction of novel materials, processes, integration schemes and packages. This volume brings together leading modelers and experimentalists to discuss the plethora of process and reliability issues associated with depositing.

Table of Contents

Constraint effects on cohesive failures in low-k dielectric thin filmsp. 3
A new technique for the characterization of the adhesion in integrated circuit interconnect structuresp. 11
Mechanical properties of porous MSQ films : impact of the porogen loading and matrix crosslinkingp. 17
High-temperature nanoindentation measurement for hardness and modulus evaluation of low-k filmsp. 23
Depth-profiling pore morphology in nanoporous thin films using positronium lifetime annihilation spectroscopyp. 29
Solid state MAS NMR spectroscopic characterization of plasma damage and UV modification of low-k dielectric filmsp. 35
Pore structure and integration performance of a porous CVD ultra low-k dielectricp. 41
Ultra low-k PECVD porogen approach : matrix precursors comparison and porogen removal treatment studyp. 49
Determining pore structure and growth mechanisms in templated nanoporous low-k filmsp. 55
Fracture property improvements of a nanoporous thin film via post deposition bond modificationsp. 61
Effect of plasma treatment and TMCTS vapor annealing on the reinforcement of porous low-k filmsp. 67
High strength low dielectric constant aromatic thermosetsp. 73
Effect of dielectric pore size distribution on interfacial adhesion of the Tantalum-Porous dielectric interfacep. 79
CVD boron carbo-nitride as pore sealant for ultra low-k interlayer dielectricsp. 85
Employing thin film failure mechanisms to form templates for nano-electronicsp. 91
Stress generation in PECVD silicon nitride thin films for microelectronics applicationsp. 97
A novel organic low-k film deposited by plasma-enhanced co-polymerizationp. 103
Mesoporous low dielectric poly(silsesquioxane) thin films templated by various surfactantsp. 109
A spin-on dielectric material for high aspect ratio gap fillp. 115
New carbon-bridged hybrid polymers for low-k materialsp. 121
Capacitance measurement technique for determining the out-of-plane coefficient of thermal expansion for low-k dielectricsp. 127
Determination of elastic modulus and yield stress of ultra-thin Cu and low-k films using spherical nanoindentation measurementp. 133
Application of nanoindentation to characterize fracture in ILD films used in the BEOLp. 139
Nanoporous materials integration into advanced microprocessorsp. 147
Double-layered structure of surface modification of low-k dielectrics induced by He plasmap. 159
Nanoscale observation of dielectric damage to low-k MSQ interconnects from reactive ion etching and ash treatmentp. 165
First pass study of surface modified porous low-k by ion implantation for zero thickness barrier requirement of Cu/MSQ/Si stacks in copper metallization schemep. 171
Observation of intrusion rates of hexamethyldisilazane during supercritical carbon dioxide functionalization of triethoxyfluorosilane low-k filmsp. 177
Integration of a polymer etch stop layer in a porous low-k MLM structurep. 183
Poly(ethynyl-p-xylylene), an advanced molecular caulk CVD polymerp. 189
The effect of methylating treatments on the dielectric reliability of low-k/Cu structuresp. 195
Structure evolution in plated Cu filmsp. 203
Effect of temperature on incubation time for spontaneous morphology change in electrodeposited copper metallizationp. 209
Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applicationsp. 215
Integration of ALD-TaN liners on nanoporous dielectricsp. 221
Thermal oxidation of Cu interconnects capped with CoWPp. 227
Advanced Al damascene process for fine trench under 70 nm design rulep. 233
Effect of microstructure and dielectric materials on stress-induced damages in damascene Cu/low-k interconnectsp. 241
Comparison of line stress predictions with measured electromigration failure timesp. 253
Stress-induced void formation in passivated Cu filmsp. 259
Barrier integrity effect on leakage mechanism and dielectric reliability of copper/OSG interconnectsp. 265
Multi-via electromigration test structures for identification and characterization of different failure mechanismsp. 271
Analysis of electromigration-induced void motion and surface oscillations in metallic thin-film interconnectsp. 277
Fundamentals of Cu/barrier-layer adhesion in microelectronic processingp. 283
Effect of current direction on the reliability of different capped Cu interconnectsp. 289
Microstructure evolution during electric current induced thermomechanical fatigue of interconnectsp. 295
Characterization of temporary extrusion failures in quarter-micron copper interconnectsp. 301
TEM-based analysis of defects induced by AC thermomechanical versus microtensile deformation in aluminum thin filmsp. 307
Electromigration of electroplated gold interconnectsp. 313
Degradation of fracture and fatigue properties of MEMS structures under cyclic loadingp. 319
Flip chip reliability of GaAs on Si thin film substrates using AuSn solder bumpsp. 327
Modeling the impact of packaging stress on device performancep. 339
Material reliability and integration issues of polyimide and benzocyclobuteue interlayer dielectric materialsp. 345
Analysis of the interfacial reaction between Sn-3.5Ag and electroplating interlayersp. 351
The effect of immersion Sn coating on the electromigration failure mechanism and lifetimes of Cu dual damascene interconnectsp. 357
Synchrotron X-ray micro-diffraction analysis on microstructure evolution in Sn under electromigrationp. 363
Effect of electromigration on mechanical behavior of solder jointsp. 369
Morphology change, size distribution, and nano-sized channels in Cu[subscript 6]Sn[subscript 5] intermetallic compound formation at the SnPb solder and copper interfacep. 375
A study of impact reliability of lead-free BGA balls on Au/electrolytic Ni/Cu bond padp. 381
Mechanisms of low-temperature Ti/Si-based wafer bondingp. 387
Effects of bonding process parameters on wafer-to-wafer alignment accuracy in benzocyclobutene (BCB) dielectric wafer bondingp. 393
Interconnects for elastically stretchable and deformable electronic surfacesp. 399
Table of Contents provided by Blackwell. All Rights Reserved.

Supplemental Materials

What is included with this book?

The New copy of this book will include any supplemental materials advertised. Please check the title of the book to determine if it should include any access cards, study guides, lab manuals, CDs, etc.

The Used, Rental and eBook copies of this book are not guaranteed to include any supplemental materials. Typically, only the book itself is included. This is true even if the title states it includes any access cards, study guides, lab manuals, CDs, etc.

Rewards Program