Constraint effects on cohesive failures in low-k dielectric thin films | p. 3 |
A new technique for the characterization of the adhesion in integrated circuit interconnect structures | p. 11 |
Mechanical properties of porous MSQ films : impact of the porogen loading and matrix crosslinking | p. 17 |
High-temperature nanoindentation measurement for hardness and modulus evaluation of low-k films | p. 23 |
Depth-profiling pore morphology in nanoporous thin films using positronium lifetime annihilation spectroscopy | p. 29 |
Solid state MAS NMR spectroscopic characterization of plasma damage and UV modification of low-k dielectric films | p. 35 |
Pore structure and integration performance of a porous CVD ultra low-k dielectric | p. 41 |
Ultra low-k PECVD porogen approach : matrix precursors comparison and porogen removal treatment study | p. 49 |
Determining pore structure and growth mechanisms in templated nanoporous low-k films | p. 55 |
Fracture property improvements of a nanoporous thin film via post deposition bond modifications | p. 61 |
Effect of plasma treatment and TMCTS vapor annealing on the reinforcement of porous low-k films | p. 67 |
High strength low dielectric constant aromatic thermosets | p. 73 |
Effect of dielectric pore size distribution on interfacial adhesion of the Tantalum-Porous dielectric interface | p. 79 |
CVD boron carbo-nitride as pore sealant for ultra low-k interlayer dielectrics | p. 85 |
Employing thin film failure mechanisms to form templates for nano-electronics | p. 91 |
Stress generation in PECVD silicon nitride thin films for microelectronics applications | p. 97 |
A novel organic low-k film deposited by plasma-enhanced co-polymerization | p. 103 |
Mesoporous low dielectric poly(silsesquioxane) thin films templated by various surfactants | p. 109 |
A spin-on dielectric material for high aspect ratio gap fill | p. 115 |
New carbon-bridged hybrid polymers for low-k materials | p. 121 |
Capacitance measurement technique for determining the out-of-plane coefficient of thermal expansion for low-k dielectrics | p. 127 |
Determination of elastic modulus and yield stress of ultra-thin Cu and low-k films using spherical nanoindentation measurement | p. 133 |
Application of nanoindentation to characterize fracture in ILD films used in the BEOL | p. 139 |
Nanoporous materials integration into advanced microprocessors | p. 147 |
Double-layered structure of surface modification of low-k dielectrics induced by He plasma | p. 159 |
Nanoscale observation of dielectric damage to low-k MSQ interconnects from reactive ion etching and ash treatment | p. 165 |
First pass study of surface modified porous low-k by ion implantation for zero thickness barrier requirement of Cu/MSQ/Si stacks in copper metallization scheme | p. 171 |
Observation of intrusion rates of hexamethyldisilazane during supercritical carbon dioxide functionalization of triethoxyfluorosilane low-k films | p. 177 |
Integration of a polymer etch stop layer in a porous low-k MLM structure | p. 183 |
Poly(ethynyl-p-xylylene), an advanced molecular caulk CVD polymer | p. 189 |
The effect of methylating treatments on the dielectric reliability of low-k/Cu structures | p. 195 |
Structure evolution in plated Cu films | p. 203 |
Effect of temperature on incubation time for spontaneous morphology change in electrodeposited copper metallization | p. 209 |
Plasma-assisted atomic layer deposition of TiN films at low deposition temperature for high-aspect ratio applications | p. 215 |
Integration of ALD-TaN liners on nanoporous dielectrics | p. 221 |
Thermal oxidation of Cu interconnects capped with CoWP | p. 227 |
Advanced Al damascene process for fine trench under 70 nm design rule | p. 233 |
Effect of microstructure and dielectric materials on stress-induced damages in damascene Cu/low-k interconnects | p. 241 |
Comparison of line stress predictions with measured electromigration failure times | p. 253 |
Stress-induced void formation in passivated Cu films | p. 259 |
Barrier integrity effect on leakage mechanism and dielectric reliability of copper/OSG interconnects | p. 265 |
Multi-via electromigration test structures for identification and characterization of different failure mechanisms | p. 271 |
Analysis of electromigration-induced void motion and surface oscillations in metallic thin-film interconnects | p. 277 |
Fundamentals of Cu/barrier-layer adhesion in microelectronic processing | p. 283 |
Effect of current direction on the reliability of different capped Cu interconnects | p. 289 |
Microstructure evolution during electric current induced thermomechanical fatigue of interconnects | p. 295 |
Characterization of temporary extrusion failures in quarter-micron copper interconnects | p. 301 |
TEM-based analysis of defects induced by AC thermomechanical versus microtensile deformation in aluminum thin films | p. 307 |
Electromigration of electroplated gold interconnects | p. 313 |
Degradation of fracture and fatigue properties of MEMS structures under cyclic loading | p. 319 |
Flip chip reliability of GaAs on Si thin film substrates using AuSn solder bumps | p. 327 |
Modeling the impact of packaging stress on device performance | p. 339 |
Material reliability and integration issues of polyimide and benzocyclobuteue interlayer dielectric materials | p. 345 |
Analysis of the interfacial reaction between Sn-3.5Ag and electroplating interlayers | p. 351 |
The effect of immersion Sn coating on the electromigration failure mechanism and lifetimes of Cu dual damascene interconnects | p. 357 |
Synchrotron X-ray micro-diffraction analysis on microstructure evolution in Sn under electromigration | p. 363 |
Effect of electromigration on mechanical behavior of solder joints | p. 369 |
Morphology change, size distribution, and nano-sized channels in Cu[subscript 6]Sn[subscript 5] intermetallic compound formation at the SnPb solder and copper interface | p. 375 |
A study of impact reliability of lead-free BGA balls on Au/electrolytic Ni/Cu bond pad | p. 381 |
Mechanisms of low-temperature Ti/Si-based wafer bonding | p. 387 |
Effects of bonding process parameters on wafer-to-wafer alignment accuracy in benzocyclobutene (BCB) dielectric wafer bonding | p. 393 |
Interconnects for elastically stretchable and deformable electronic surfaces | p. 399 |
Table of Contents provided by Blackwell. All Rights Reserved. |
The New copy of this book will include any supplemental materials advertised. Please check the title of the book to determine if it should include any access cards, study guides, lab manuals, CDs, etc.
The Used, Rental and eBook copies of this book are not guaranteed to include any supplemental materials. Typically, only the book itself is included. This is true even if the title states it includes any access cards, study guides, lab manuals, CDs, etc.