did-you-know? rent-now

Amazon no longer offers textbook rentals. We do!

did-you-know? rent-now

Amazon no longer offers textbook rentals. We do!

We're the #1 textbook rental company. Let us show you why.

9781558996700

Advanced Metallization Conference 2001 (Amc 2001)

by ; ; ;
  • ISBN13:

    9781558996700

  • ISBN10:

    1558996702

  • Format: Hardcover
  • Copyright: 2002-03-01
  • Publisher: Cambridge Univ Pr

Note: Supplemental materials are not guaranteed with Rental or Used book purchases.

Purchase Benefits

  • Free Shipping Icon Free Shipping On Orders Over $35!
    Your order must be $35 or more to qualify for free economy shipping. Bulk sales, PO's, Marketplace items, eBooks and apparel do not qualify for this offer.
  • eCampus.com Logo Get Rewarded for Ordering Your Textbooks! Enroll Now
List Price: $39.99 Save up to $14.80
  • Rent Book $25.19
    Add to Cart Free Shipping Icon Free Shipping

    TERM
    PRICE
    DUE
    SPECIAL ORDER: 1-2 WEEKS
    *This item is part of an exclusive publisher rental program and requires an additional convenience fee. This fee will be reflected in the shopping cart.

Supplemental Materials

What is included with this book?

Summary

Leading-edge advanced metallization schemes, as applied to VLSI interconnects, include the introduction of novel metals systems and novel dielectric materials. Technological advances highlighted at AMC 2001 include the latest developments in integrating copper metallization with low-dielectric constant materials, and evaluations of the reliability of such interconnects. Recently, in both industry and academia there has been increased interest in basic research as applied to the field of vertical integration. Since the problems that affect vertical integration are similar to those of VLSI interconnects, it is natural to include the topic here. In fact, it is anticipated that cross fertilization between the fields of VLSI metallization and vertical interconnect will yield a viable technical solution to the problem of multichip integration. This book offers a comprehensive look at the current state of the art of VLSI interconnects. Topics include: process integration; vertical integration and advanced packaging; copper metallization; low-K dielectrics technology; modeling; reliability; barriers; atomic-layer epitaxy and other technologies; and CMP and automation.

Table of Contents

Prefacep. xix
Acknowledgmentsp. xxi
Materials Research Society Conference Proceedingsp. xxiii
Process Integration
Cu/SiLK Integration: Influence of Process on Reliabilityp. 3
Extending Copper Metallization Technology for Wiring to End-of-Roadmap Feature Sizesp. 11
Damascene Integration Feasibility of Developmental Porous SiLK Resin Filmsp. 19
Integration of Organosilicate Glasses (OSGs) in High Performance Copper Interconnectsp. 25
0.13[mu]m Generation Integration of Dual Damascene Copper in SiLK and FSGp. 33
A Cu Interconnect Process for the 130nm Process Technology Nodep. 39
Integration of Thin CVD TiSiN Barriers in Cu Interconnectsp. 43
Precleans for Copper Vias in an FSG Processp. 49
A CMP-Free Cu/Low-k Integration Technology By Cu Pillar/Line and ps-Low-k STP Processp. 57
Overcoming Barrier Integrity Issues of I-PVD Ta(N) Layers on Inorganic Porous Low-k'sp. 61
Electroless Cu Alloys for ULSI Applicationsp. 67
Characterization and Integration of CVD Ultra Low-k Films (k<2.2) for Dual Damascene IMD Applicationsp. 73
Evaluation and Evolution of ILD Materialsp. 79
Eliminating Process-Related Defects During the Fabrication of Copper Interconnectsp. 85
Integrative Aspects of Submicron Contact Filling by Al-Ge-Cu Sputteringp. 91
Fringe Fields and Mechanical Support Structures: Obstacles on the Way to k=1p. 97
Interconnect Length Distribution for Memory-Logic Mixed LSIp. 103
Metal Gate Stack: Thermally Induced Reaction Studyp. 109
Titanium Nitride Metal Gate Electrode: Effect of Nitrogen Incorporationp. 115
Estimation of Power Consumption Using Interconnect Length Distribution in System LSIp. 121
Vertical Integration and Advanced Packaging
Bridging the Chip/Package Process Dividep. 129
New Wafer Stacking Technology for Three-Dimensional System-on-a-Chipp. 137
Novel Microelectronic Packaging Method for Reduced Thermomechanical Stresses on Low Dielectric Constant Materialsp. 143
Fabrication of Via-Chain Test Structures for 3D IC Technology Using Dielectric Glue Bonding on 200mm Wafersp. 151
Interchip Via Technology by Using Copper for Vertical System Integrationp. 159
Copper Metallization
Novel Routes to "Zero-Length" Barriers for Cu/Low-k Integrationp. 169
Reactive Deposition of Device Quality Conformal Copper Films From Supercritical CO[subscript 2]p. 177
Direct Electroless Copper Plating on Barrier Metals Without Pd Catalystp. 185
Preparation and Characterization of Electrochemically Deposited Copper Alloysp. 191
Development of 3-Component Electroplating Chemistry and Processes to Meet Gap Filling and Bath Control Requirements for 0.1[mu]m Technology Nodep. 197
Comparison of Copper Via Chain and EM Resistance by DC and Pulse Platingp. 203
Superfilling Characteristic and Evaluation of Chemically Enhanced CVD (CECVD) Cu Processp. 209
Optimization of Plating Chemistry for Dual Damascene Cu Metallizationp. 215
Comparative Study on Cu(6N), CuAl[subscript 0.3wt.%] and CuCr[subscript 0.17wt.%] Films--Microstructure and Propertiesp. 221
Metal Deposition From Organic Solutions for Microelectronic Applicationsp. 227
On the Interaction of Silver Metallization With Silicon Dioxidep. 233
Initial Growth of CVD-Cu Films for Thin Seed Layerp. 239
Application of a High-Pressure Annealing Process for Damascene-Fabricated Cu Interconnectionsp. 245
Low-k Dielectrics Technology
SiCOH Dielectrics: From Low-k to Ultralow-k by PECVDp. 253
Fundamentals and Improvements of Diffusion Barrier/Copper Adhesion for Damascene Processp. 261
Effective Porous Low-k in Single Damascene Integrationp. 267
Properties of Mesoporous Low-k MSSQ Based Film Prepared Using Macromolecular Porogenp. 273
Evaluation of Ta(N) Diffusion Barrier Integrity on Porous Low-k Filmsp. 279
Hydrophobisation Process for Porous Low-k Dielectric Silica Layersp. 287
Integration of Cu With SiOCH (Coral) and SiC:H Low-k Dielectric Films in a Dual Damascene Schemep. 295
Cu Metallization Issues in Low-k SiOC Integrationp. 301
Barrier Process for Damascene Integration of Developmental Porous SiLK Resin Filmsp. 307
Improved Mechanical Strength of Porous Diamond Film by Silane Couplerp. 313
Processing-Mechanical Property Relationships in Ultra-Low-k Xerogel Filmsp. 319
Oxidation and Plasma RF Bias Frequency Effects in the PECVD Growth of Si[subscript w]C[subscript x]O[subscript y]H[subscript z] Dielectric Filmsp. 325
A New Measurement Technique of Pore Size Distribution of Porous Low-k Filmsp. 331
Integration of Carbon Doped Oxide-CVD Low-k Dielectric Film for Damascene Cu Interconnectionp. 337
Mechanisms Producing Bowed Profiles in the Etching of Low-k Organic Filmsp. 341
Modeling
High Performance SOI/Cu SRAMs and Memories in Microprocessorsp. 347
Simulations of Local Heating in VLSI Backend Structures Using ATARp. 355
Catalyst Induced Superconformal Filling: Electrodeposition and Chemical Vapor Depositionp. 363
Calculating Current Flow in Deep-Submicron and Nanoscale Metal Structuresp. 371
Thermal and Electrical Simulation of Deep Submicron Interconnection Systemsp. 379
Mechanisms of Superconformal Copper Electrodeposition in Acidic Sulfate Baths Containing Accelerator and Suppressor Additivesp. 387
Towards Minimum k Values of Porous Dielectrics: A Simulation Studyp. 393
A Transport and Reaction Model for Atomic Layer Depositionp. 399
A Multiscale Model for Chemical Mechanical Planarizationp. 405
Modeling and Simulation Opportunities for 3D Integrated Circuitsp. 411
Reliability
Optical Interconnects Review and Insertion Opportunities in Digital Systemsp. 419
Electromigration of Cu/Spin-on Porous Ultra Low-k Interconnectsp. 427
Electromigration and Stress Voiding Investigations on Dual Damascene Copper Interconnectsp. 433
Triangular Voltage Sweep Characterization of Copper Ion Migration Induced by Pre-Sputter Etch in Dual-Damascene Cu/FSG Interconnect Structuresp. 441
Reliability of Metal Insulator Metal Capacitorsp. 447
Stress Migration Study of Cu Interconnect With Various Low-k Dielectricsp. 457
Investigation of the Copper Drift in the Low-k Polymers SiLK I, J and Hp. 465
High-k MIM Capacitors for Integration in Low Thermal Budget Applicationsp. 471
Metal-Insulator-Metal (MIM) Capacitors for RF-BiCMOS Technologyp. 479
Electromigration Resistance Improvement of Dual-Damascene Copper Interconnection Using TaN/Ta Barrier Formed by Ionized Bias Sputteringp. 487
One Force Driving Cu Diffusion Into Interlayer Dielectricsp. 493
Microscopic Investigation of Electromigration Failure in Narrow Cu Interconnectsp. 497
Narrow Trench Corrosionp. 503
Overcoming of Resist Poisoning Issue During Si-O-C Dielectric Integration in Cu Dual Damascene Interconnect for 0.1[mu]m Technologyp. 509
X-ray Diffraction Metrology for 200mm Process Qualification and Stability Assessmentp. 515
Analysis of Dielectric Breakdown of SiO[subscript 2] Film Induced by Copper Ion Driftp. 521
Surface Morphology Control of Al-CVD for Dual-Damascene Applicationp. 527
Electromigration of Cu Damascene Interconnects With (Ti)/CVD-TiN/(Ti) Underlayerp. 533
Barriers
An Optimal Liner for Copper Damascene Interconnectsp. 541
Low Resistance Copper Interconnects with MOCVD TiN(Si) Barrier for Sub-0.13[mu]m Applicationsp. 549
The Evaluation of the Diffusion Barrier Performance of MOCVD TiSiN Layers for Copper/Black Diamond Metallizationp. 555
Development of CVD TiN(Si) for Advance Cu Barrier Applicationp. 559
Manufacturing-Ready Selectivity of CoWP Capping on Damascene Copper Interconnectsp. 567
XRR Metrology for Advanced Interconnect Material Process Characterizationp. 573
Evaluation of Novel Electrolessly Deposited Diffusion Barriers for Copper Interconnectsp. 581
Development of Tantalum Carbon Nitride (TaCN) Diffusion Barriers for Copper Metallizationp. 587
Physical and Electrical Characterization of ALD TiN Used as a Copper Diffusion Barrier in 0.25[mu]m, Dual Damascene Backend Structuresp. 593
Microstructure and Degradation Mechanisms of Ta Based Diffusion Barriers for Copper Interconnectsp. 597
Barrier Characteristics of PECVD [alpha]-SiC:H Dielectricsp. 603
Process and Thin Film Characteristics of TaN Deposited by MOCVDp. 609
Phase, Structure and Properties of Sputtered Ta and TaN[subscript x] Filmsp. 613
Improvement of TaN[subscript x] Barrier Effectiveness Without Cu (111) Texture Degradationp. 619
Atomic Layer Epitaxy and Other Technologies
Aspects of ALD Processes for Metallic Interconnectsp. 627
New Approaches to the Atomic Layer Deposition of Tantalum Nitride and Titanium Nitride Thin Filmsp. 633
Development and Application of ALD TiN Process Using Batch Type ALD Equipment System for Mass Productionp. 641
Pulsed Nucleation for Ultra-High Aspect Ratio Tungsten Plugfillp. 649
Atomic Layer Deposition of Tungsten Film from WF[subscript 6]/B[subscript 2]H[subscript 6]: Nucleation Layer for Advanced Semiconductor Devicesp. 655
The Application of SFD (Sequential Flow Deposition) of W to the Generation Below 0.13[mu]mp. 661
HfO[subscript 2] and Hf[subscript 1-x]O[subscript 2] Deposition by MOCVD and TDEAHp. 667
Theoretical Study on the Elementary Reaction Rates of Aluminum CVDp. 673
Electrical Properties of Ni Silicide/Silicon Contactp. 679
Miscellaneous--Cmp and Automation
Nanosize Diamond Particles for Copper CMPp. 687
A Novel CMP Slurry With Inorganic/Resin Abrasive for Al Damascene Processp. 693
Impact of Pad Conditioning on CMP Removal Rate and Planarityp. 699
Wafer Ambient Control Box Optimum for Mini-Environment Toolsp. 705
Author Indexp. 711
Subject Indexp. 717
Table of Contents provided by Syndetics. All Rights Reserved.

Supplemental Materials

What is included with this book?

The New copy of this book will include any supplemental materials advertised. Please check the title of the book to determine if it should include any access cards, study guides, lab manuals, CDs, etc.

The Used, Rental and eBook copies of this book are not guaranteed to include any supplemental materials. Typically, only the book itself is included. This is true even if the title states it includes any access cards, study guides, lab manuals, CDs, etc.

Rewards Program