did-you-know? rent-now

Amazon no longer offers textbook rentals. We do!

did-you-know? rent-now

Amazon no longer offers textbook rentals. We do!

We're the #1 textbook rental company. Let us show you why.

9781558997196

Advanced Metallization Conference 2002 (Amc 2002)

by ; ; ;
  • ISBN13:

    9781558997196

  • ISBN10:

    1558997199

  • Format: Hardcover
  • Copyright: 2002-12-01
  • Publisher: Cambridge Univ Pr

Note: Supplemental materials are not guaranteed with Rental or Used book purchases.

Purchase Benefits

  • Free Shipping Icon Free Shipping On Orders Over $35!
    Your order must be $35 or more to qualify for free economy shipping. Bulk sales, PO's, Marketplace items, eBooks and apparel do not qualify for this offer.
  • eCampus.com Logo Get Rewarded for Ordering Your Textbooks! Enroll Now
List Price: $39.99 Save up to $14.80
  • Rent Book $25.19
    Add to Cart Free Shipping Icon Free Shipping

    TERM
    PRICE
    DUE
    SPECIAL ORDER: 1-2 WEEKS
    *This item is part of an exclusive publisher rental program and requires an additional convenience fee. This fee will be reflected in the shopping cart.

Supplemental Materials

What is included with this book?

Summary

Leading-edge metallization schemes inherently involve the introduction of novel metal systems and novel dielectric materials. Technological advances highlighted during AMC 2002 include the latest developments in integrating copper-based metallization with low-dielectric constant materials and in evaluating the reliability of such interconnects. For the second consecutive year, the volume takes a special look at the status of vertical integration, or 3D chips, and the promise it holds for high-density functional integration and heterogeneous integration. Technical leaders from around the world come together in this volume, the 18th in a popular series from the Materials Research Society, to offers a comprehensive overview of the current state of advanced metallization science and technologies. Topics include: advanced interconnects, 3D integration and packaging; CMP; reliability, test and characterization; metallization; integration; low-k/dielectric materials and characterization; atomic layer deposition (ALD) and barriers.

Table of Contents

3D-integration : trends and opportunities - an overviewp. 3
Recent advances for nano interconnects : conductor reliability and resistivityp. 13
Interconnect issues for integrated MEMS technologyp. 21
3D wafer stacking technologyp. 29
3D interconnects using a Cu wafer bonding : technology and applicationsp. 37
Processing of inter-wafer vertical interconnects in 3D ICsp. 45
Face-to-face chip integration with full metal interfacep. 53
Application of a global-local random-walk algorithm for thermal analysis of 3D integrated circuitsp. 59
Wire bonding failure mechanisms and simulations of Cu low-k IMD chip packagingp. 67
Time-modulated Cu-plating technique for fabricating high-aspect-ratio vias for three-dimensional stacked LSI systemp. 75
Cu CMP using fixed abrasive (FX-CMP) for damascene interconnectionp. 83
A robust CMP process for sub-0.13 [mu]m copper/low-k interconnectsp. 89
Galvanic corrosion testing of WC[subscript x]N[subscript y] barrier metal in H[subscript 2]O[subscript 2] based slurriesp. 95
Investigation of oxidizer in liner slurry on copper chemical-mechanical polishing for advanced BEOL interconnectionsp. 103
An integrated multiscale mechanical model for chemical mechanical planarizationp. 109
Fundamental study of low friction Cu abrasive-free polishingp. 115
Cu-CMP corrosion control technique using gas dissolved waterp. 121
Interface reliability of high performance interconnectsp. 127
Scaling rule for electromigration in Cu dual-damascene interconnects on Wp. 139
Fundamentals and improvements of line-to-line breakdown reliability in copper damascene processp. 147
Voiding in Cu dual damascene metallization due to Cu densification during thermal stressp. 155
Geometrical aspects of stress-induced voiding in copper interconnectsp. 161
The effect of line length on the electromigration reliability of Cu interconnectsp. 169
Evaluation of interface adhesion of thin film layers by four-point bending testp. 177
Yield study of Cu/black diamond dual damascene interconnects using burn-inp. 185
Resistance degradation profile in electromigration of dual-damascene Cu interconnectsp. 191
The numerical analysis of copper transport in SiO[subscript 2] during bias temperature stress testp. 197
Comparison of copper interconnect electromigration behaviors in various low-k materials for 0.13 [mu]m technologyp. 203
Adhesion evaluation by nanoscratch method in stacked thin film structuresp. 209
3D coupled simulation of electromigration in copper-low-k multilevel interconnectp. 215
Thermal induced failure of organic low-k/Cu multilevel interconnectp. 221
A study of via bottom profile on via failure in multi-level Cu interconnectionp. 227
Electromigration reliability study of self-ionized plasma barriers for dual damascene Cu metallizationp. 233
A comparison of CVD TiN(Si) and PVD Ta metal barriers using a novel metal barrier reliability test structurep. 239
Influence of sample preparation on interfacial adhesion energy using the four-point bend techniquep. 243
Electromigration characteristics and characterization of multilevel Cu interconnectsp. 249
Time-dependent dielectric-constant increase - novel criteria for low-k materials reliabilityp. 259
Influence of metal electrodes on leakage current in MSQ films with or without poresp. 265
Characterization of porous low-k dielectrics by gas adsorption techniquesp. 273
Off- and on-time dependences of electromigration MTF in pulsed DC stressing testsp. 279
Extraction of interconnect-length-distribution parameters from CAD datap. 285
Derivation of film characteristics and prediction of electromigration failure in passivated polycrystalline linep. 291
Transmission line interconnect structure in Si ULSIp. 297
Reliability of copper inlaid structures - geometry and microstructure effectsp. 305
Impact of post ECD anneal on copper layer propertiesp. 313
Grain formation during polycrystalline thin film growthp. 321
Cobalt alloy thin films for encapsulation of copperp. 329
Electrodeposition of copper-tin alloy films for enhancement of electromigration and stress migration resistance in ULSI erap. 337
Resistivity of ultra-narrow Cu interconnects fabricated with electron beam lithographyp. 345
Advanced electroless Ag-W thin films for ULSI metallizationp. 349
Deposition of platinum thin films by MOCVD using a direct liquid injection systemp. 355
Effects of the leveler component on gap filling profiles for the copper damascene electroplating processp. 361
Pattern density effects on film profile evolution during ECDp. 367
Overcoming terminal effects during electrochemical deposition of copper films for 300 mm damascene interconnect applicationsp. 373
Electrochemical deposition and characterization of high performance CuAg-thin film metallizations for interconnects and SAW-electrodesp. 379
Enhancement of contact filling characteristics in CVD-Al metallization with plasma-treated MOCVD-TiN wetting layerp. 385
Surface and grain boundary scattering : a modeling study of the electrical resistivity in sub-50 nm copper linesp. 391
Microstructure of Cu damascene nano-interconnectsp. 397
Copper seed layer sealing for advanced interconnects : extendibility of I-PVDp. 403
Chemical fluid deposition of copper filmsp. 409
Effects of underlying dielectrics on properties and reliability of Cu-based metallization systemp. 415
Bulk CVD tungsten and pulsed nucleation layer tungsten deposition methods and the impact on film conformality, crystallography, microstructure and chemical mechanical planarization removal rate of tungstenp. 421
Selective silicidation of cobalt using SiH[subscript 4], and Si[subscript 2]H[subscript 6] for Cu metallizationp. 427
Integration of CVD Cu seed with CVD Cu barrier for dual damascene Cu metallizationp. 433
The effects of chlorine in contact resistance of TiSi[subscript 2] films deposited by PECYD-Ti in tungsten bit-line stud processp. 439
Al-CVD technology using MPA [methylpyrrolidine alane]p. 445
Sequential flow deposition (SFD) of W nucleation layer using Si[subscript 2]H[subscript 6], B[subscript 2]H[subscript 6] and SiH[subscript 4] reduction gases for W plugp. 451
In situ cleaning solution to high via resistance issue on floating metal patternp. 457
Copper deposition characteristics from a supercritical CO[subscript 2] fluidp. 463
Productization of Cu/low-k at the 130 and 90 nm technology nodesp. 471
0.13 [mu]m generation integration and manufacturing of dual damascene copper in FSGp. 485
Robust low-k SiOC integration in Cu dual damascene interconnect for 90 nm node SoC technologyp. 493
A study on the integration of organosilicate glasses for advanced copper/low-k interconnectionsp. 501
Modified silica xerogel as a low-k dielectric with improved mechanical propertiesp. 507
Demonstration of dual damascene 0.18 [mu]m Cu/black diamond integrationp. 513
Dielectric bottom anti-reflective coatings for the patterning of organosilicate dual damascene structuresp. 519
Process challenges for integrating copper with SiLK dielectricp. 525
A sacrificial Al-seed Cu-plating technology for Cu line-pillar/STP schemep. 531
An imprint-damascene process for Cu/low-k interconnectsp. 537
Influence of Si substrate ground on antenna transmission gain for on-chip wireless interconnectsp. 543
Fluorocarbon etching of porous silicon dioxide : plasma chemistry and surface kineticsp. 551
A new method of cleaning Cu/organic low-k interconnectp. 557
A novel ultra tough organic low-k film with a highly cross-linked low density structurep. 563
Determining dielectric constant variation of SiOC low-k film using density measurementp. 569
Preparation of damascene trench sidewalls in CVD nano-porous ultra low-k (k = 2.2) films for compatibility with MOCVD diffusion barriersp. 575
High-k MIM capacitor using ZrO[subscript 2] for RF applicationp. 583
Improvement of film properties by plasma modification of a porous low-k organosilicate glass materialp. 589
A new method for in-line measurement of the dielectric constant of low-k filmsp. 595
Effects of supercritical processing on ultra low-k filmsp. 601
Processing of ultra-low-k xerogel composite filmsp. 607
Experimental determination of the effective relative permittivity of copper/low-e[subscript r] dual damascene integrated structuresp. 613
Study of process impact on low-k dielectric in copper dual damascene interconnect processp. 619
Integratibility evaluation of ultra-low-k dielectric material for 65 nm technologyp. 625
Integration of plasma deposited CF polymer in a copper/low-k damascene architecturep. 629
How to eliminate voiding in porous low-k dielectrics and the mechanism of void formationp. 637
Dielectric constant and Young's modulus of organic low-k materials calculated by molecular orbital methodp. 643
A novel porous silica film for Cu/low-k multilevel interconnectsp. 649
Effects of stress controlled by SiF[subscript 4] flow rate and temperature on HDP-FSG/PE-SiN interface delamination in 64-bit RISC microprocessorp. 655
STP with low-k polymer SiLK resinp. 661
Organometallic Hf and Si precursors for Hf[subscript 1-x]Si[subscript x]O[subscript 2] thin film formationp. 665
Curing process window for MSQ based low-k dielectricsp. 671
Quantum chemical study on dielectric constants of siloxane and its derivativesp. 677
Atomic-layer deposition processes for nanoscale copper metallizationp. 685
Atomic layer deposited aluminum oxide (Al[subscript 2]O[subscript 3]) : a promising dielectric for metal insulator metal capacitors (MIMCAPS)p. 693
Electrical and physical characterization of atomic layer deposited thin films for copper barrier applicationsp. 701
Improving pulse protocols in atomic layer depositionp. 709
Table of Contents provided by Blackwell. All Rights Reserved.

Supplemental Materials

What is included with this book?

The New copy of this book will include any supplemental materials advertised. Please check the title of the book to determine if it should include any access cards, study guides, lab manuals, CDs, etc.

The Used, Rental and eBook copies of this book are not guaranteed to include any supplemental materials. Typically, only the book itself is included. This is true even if the title states it includes any access cards, study guides, lab manuals, CDs, etc.

Rewards Program