did-you-know? rent-now

Amazon no longer offers textbook rentals. We do!

did-you-know? rent-now

Amazon no longer offers textbook rentals. We do!

We're the #1 textbook rental company. Let us show you why.

9781558993419

Advanced Metallization and Interconnect Systems for Ulsi Applications in 1995

by ;
  • ISBN13:

    9781558993419

  • ISBN10:

    155899341X

  • Format: Hardcover
  • Copyright: 1999-03-01
  • Publisher: Cambridge Univ Pr

Note: Supplemental materials are not guaranteed with Rental or Used book purchases.

Purchase Benefits

  • Free Shipping Icon Free Shipping On Orders Over $35!
    Your order must be $35 or more to qualify for free economy shipping. Bulk sales, PO's, Marketplace items, eBooks and apparel do not qualify for this offer.
  • eCampus.com Logo Get Rewarded for Ordering Your Textbooks! Enroll Now
List Price: $39.99 Save up to $14.80
  • Rent Book $25.19
    Add to Cart Free Shipping Icon Free Shipping

    TERM
    PRICE
    DUE
    SPECIAL ORDER: 1-2 WEEKS
    *This item is part of an exclusive publisher rental program and requires an additional convenience fee. This fee will be reflected in the shopping cart.

Supplemental Materials

What is included with this book?

Summary

The MRS Symposium Proceeding series is an internationally recognised reference suitable for researchers and practitioners.

Table of Contents

Preface
Acknowledgments
Dedication
Materials Research Society Conference Proceedings
Keynote Presentations: Process Technologies for High Performance Multilevel Metallization Systemsp. 3
Implementation of Low-k Dielectrics for Interconnect Capacitance Reductionp. 15
Benzocyclobutene (DVS-BCB) Polymer as an Interlayer Dielectric Materialp. 23
Low Density Silica Xerogels for Low [kappa] Dielectricsp. 29
Structure-Property Relationships of Thin Films of Fluorinated Polyimide Copolymers for Interlayer Dielectric Applicationsp. 37
Low Dielectric Constant Fluorine-Doped TEOS-Based Oxide Filmsp. 45
Performance and Scaling Issues for Sub-Half Micron ULSI Interconnectionsp. 53
Integration of Low Dielectric Constant Materials with 0.25-[mu]m Aluminum Interconnectsp. 59
Process Integration Considerations for a Material with Low Dielectric Constant - Hydrogen Silsesquioxane Based Flowable Oxidep. 67
The Integration of a Low-k Material with High Organic Content in a Non-Etchback Processp. 75
F-Doped SiO[subscript 2] for Low Dielectric Constant Films in Sub-Half Micron ULSI Multilevel Interconnectionp. 81
Siloxane Spin-On Glass with a Low Dielectric Constant by E-Beam Treatmentp. 89
An Evaluation of Fluorine Doped PETEOS on Gap Fill Ability and Film Characterizationp. 95
Improvement of the Dielectric Properties of Silsesquioxane Based Spin-On-Polymer by Plasma Curing and Other Novel Techniquesp. 101
FLARE, A Low Dielectric Constant Organic Polymer Intermetal Dielectric: Chemistry and Relevant Thermomechanical Propertiesp. 107
Low Dielectric SiOF Films Using Novel CVD Precursorsp. 115
Planarization Performance of Flowable Oxide in the Sub-0.5 [mu]m Regimep. 121
Impact of Low-k ILD and Cu on Circuit Performancep. 129
Sub-Micron Gap Fill and In-Situ Planarisation Using Flowfill Technologyp. 137
Cluster Integration of TIN MOCVD Barrier and Copper Deposition for 0,25 [mu]m via Filling Applicationp. 145
Characterization of Metal Line Resistance Change During Electromigration Test in Al/TiN Layered Interconnectsp. 153
The Impact of Negative Overlap between Metal- and Via-Levels on Via Performance: Via Resistance, Via String Yield and Electromigration Resistancep. 159
Comparison of Electromigration Characteristics of Pure Copper and Aluminum Alloy Metallizationsp. 165
An Effective Residual Photoresist Strip in a Reducing Ambient - Application to CVD W with a Non-Etchback Siloxane SOGp. 169
Process Integration of a High Density Plasma Film with a Sacrificial Etchback Process for Sub-0.5 [mu]m Multilayer Metal Structuresp. 175
Cluster Synthesis and Trench Filling Using Inert Gas Condensation and Ballistic Depositionp. 181
Low-Pressure Chemical Vapor Deposition of Cu-Pd Alloys for Metallization Applicationsp. 187
Kinetics and Mechanism of Copper CVD Using Cu(HFAC)[subscript 2] and Related Adductsp. 195
High-Speed Chemical Vapor Deposition of Aluminum Using Liquid Delivery Systemp. 201
Triakylamine Adducts of Dimethylaluminum Hydride as Precursors for CVD Aluminump. 207
Nucleation Behaviour in Copper CVD from Cu(hfac) TMVS on Different Substrate Materialsp. 213
Molecular Dynamics Simulation of Surface Melting for Al Reflowp. 219
Magnetron Sputter Deposition for Interconnect Applicationsp. 227
Integrated PECVD-Ti/TiN Thin Films for Via Level Applicationsp. 233
The Improvement of Electrical Properties of TiN Film Deposited by MOCVD Using TDMATp. 241
Chemical Vapor Deposition of Ti-Si-N Films for Diffusion Barrier Applicationsp. 249
Characteristics of PECVD Grown W-Nitride Films as Diffusion Barrier Layers for ULSI DRAM Applicationsp. 257
A Barrier Structure for Contacts Filled with High Pressure Aluminump. 265
CVD Ti/TiN and High Temperature Al-Plug Process for 0.5 [mu]m Contactp. 273
The Diffusion Barrier Property of TiN and TaN for Cu Metallizationp. 281
Ta and Ta(N): A High Reliable Diffusion Barrier and Protection Layer for Copper Metallized Integrated Circuitsp. 291
The Effect of Temperature on Non-Collimated and Collimated Ti and TiN Filmsp. 299
Compositional Variation of Sputtered TiW Thin Films on Topography: TEM/EDX Measurements and SIMBAD Simulationsp. 311
Poly-Metal Gate Process - Ultrathin WSiN Barrier Layer Impermeable to Oxidant in-Diffusion During Si Selective Oxidationp. 317
Characterization of Ti-Si-N and W-Si-N Barrier Metals for Cu Interconnectsp. 325
Evolution of Microstructure and Texture in Sputter-Deposited TiN Films for Interconnect Applicationsp. 333
TiN/Ti Barrier Metals for High Pressure Al Plugsp. 341
TiN Films Deposition on the Large Diameter Wafers by ECR Plasma CVD Methodp. 347
Low Temperature and Low Pressure Process Metalorganic Chemical Vapor Deposition (MOCVD) of Superior Barrier Layers in Advanced ULSi Devicesp. 355
Reliability and Electrical Properties of Poly-Si/TiSix Gate Structures with TiN Barrier Layersp. 363
Growth and Properties of W-B-N Diffusion Barriers Deposited by Chemical Vapor Depositionp. 369
Resistivity Enhancement of CVD TiN with In-Situ Nitrogen Plasma and its Application in Low Resistance Multilevel Interconnectsp. 375
Low Pressure Chemical Vapor Deposition of TiN from a New Metalorganic Precursorp. 381
Barrier/Cu Contact Resistivityp. 387
Collimated Ti/TiN Film Deposited in Single Sputtering Chamberp. 395
Reactivity Sputtered Ti-Si-N Diffusion Barriersp. 401
Model Development for the CVD of TiN from Ti(NR[subscript 2])[subscript 4] and NH[subscript 3]p. 409
Electrical Characterization of MOCVD TiN for ULSI Metallizationp. 417
Modeling of Intrinsic Stresses of Titanium Thin Films Deposited by Collimated Sputteringp. 423
Materials and Processing Issues in Co and Ti Silicidation for 0.25 [mu]m CMOS Applications and Beyondp. 431
In-Situ X-ray Diffraction Analysis of CoSi[subscript 2] Phase Formation on Single and Polycrystalline Silicon as a Function of Linewidth and Dopant at Rapid Thermal Annealing Ratesp. 439
Thermally Stable Ultrathin Cobalt Silicide Film Formation for Deep Submicron Devicesp. 447
Mechanism Causing P+/n+ Growth Differences and Encroachment in Selective W-Stacked CMOS Applicationsp. 455
Metal Dummy Planarization Process and Thin Tungsten Wiring: Application to 0.35 [mu]m CMOS LSI'sp. 461
Production-Level Planarized Metallization for Lower Half-Micron Devices Using Tungsten Selective CVDp. 467
A Novel Surface Cleaning Using Chlorinetrifluoride for Selective W-CVDp. 475
Monolayer Nitridation of Silicon Surfaces and its Effects on Tungsten Chemical Vapor Depositionp. 483
A Study of WF[subscript 6] Diffusion and Reaction Kinetics for TiN in W-CVDp. 491
A Model with Surface Reaction and Gas Transport for Tungsten CVDp. 497
Computational Study on Atomistic Dynamics in Selective W-CVD Using SiH[subscript 4] and WF[subscript 6]p. 505
Study on CoSi[subscript 2] Formation on Si(100)-2x1 Surfaces by Scanning Tunneling Microscopy and Scanning Tunneling Spectroscopyp. 511
Formation of Defects by Silicidation Reactions at Ti/Si Interfacesp. 517
A Methodology for Rapidly Optimizing Step Coverage for LPCVD of Tungstenp. 523
Parametric Study of H[subscript 2] Doped SiH[subscript 4]/WF[subscript 6] Nucleation on Ti/TiN by Tungsten CVD Processp. 529
Modeling of Blanket and Selective Tungsten LPCVDp. 535
Chemical Vapor Deposited Tungsten Interconnect Technologyp. 541
The Kinetics of Tungsten Deposition from the H[subscript 2]/WF[subscript 6]-Mixture Studied by In Situ Laser Raman Scatteringp. 549
Stress Reduction in Tungsten CVD Films by RTA Post-Treatment of Ti/TiN Bilayers in Ammoniap. 555
Blanket Tungsten Film Deposition Using Programmed Rate CVDp. 563
A High Deposition Rate CVD W Nucleation Process without Ti/TiN Barrier Degradation for 0.25 [mu]m Technologyp. 569
Electrical Properties of WGe[subscript x] Contacts on Ti Adhesion Layersp. 575
The Influence of Temperature and Pressure on the Nucleation and Growth of Blanket CVD W on CVD TiNp. 581
Tungsten Interconnections Formed by Selective Deposition of Tungsten on Polysiliconp. 589
Electroless Cu Depositionp. 597
Novel Copper Seeded Electroless Copper Deposition for ULSI Interconnect Technologyp. 607
Cost Issues for Back End Interconnect Systemsp. 615
Simulation of High Temperature Copper Planarizationp. 621
Temperature Dependence of Blanket Aluminum CVD on Si(100) Using TIBA and DMAHp. 627
High Pressure Aluminium Fillp. 635
Aluminum Based Interconnect Systemsp. 645
Low Cost High Reliable Metallization Technology Using High Pressure Low Temperature Al Plugp. 653
Utilization of Thin Noble Metal Layers for Selective Via Plugging by Al-MOCVDp. 661
Mirror-Like Surface Morphology of CVD-Al on TiN by CIF[subscript 3] Pretreatmentp. 667
A Study on Pyrolysis DMEAA for Selective Deposition of Aluminump. 675
Fill Mechanism and Film Morphology Studies During Two Step Aluminum Planarizationp. 681
Copper Reactive Ion Etching Using Infrared Light Irradiationp. 691
Comparative Evaluation Study of CMP-Copper Interconnect Prepared by Sputter-Reflow with the One Prepared by CVDp. 701
Self-Sputtering of Cu Film Employing Highly Ionized Cu Plasmap. 709
Yield and Defect Characterization of Copper CMP Metallizationp. 717
Processing and Chemical-Mechanical Polishing of Dual Damascene Aluminum Interconnect Structuresp. 725
Critical Factors for Tungsten Chemical Mechanical Planarization (CMP) of Sub-Half Micron Contacts and Viasp. 733
Comparison of CeO[subscript 2] and SiO[subscript 2] for Planarization of Interlevel Dielectric by Chemical-Mechanical Polishingp. 741
Theoretical Approach to Understanding Copper Chemical Vapor Deposition Mechanismp. 749
Electrical Characterization of Tungsten Vias Planarized by CMPp. 757
Author Indexp. 763
Subject Indexp. 767
Table of Contents provided by Blackwell. All Rights Reserved.

Supplemental Materials

What is included with this book?

The New copy of this book will include any supplemental materials advertised. Please check the title of the book to determine if it should include any access cards, study guides, lab manuals, CDs, etc.

The Used, Rental and eBook copies of this book are not guaranteed to include any supplemental materials. Typically, only the book itself is included. This is true even if the title states it includes any access cards, study guides, lab manuals, CDs, etc.

Rewards Program